基于VHDL语言的交通灯设计


    





    基VHDL语言

    交通灯设计




    专业班级: 应电班
    作 者:
    指导老师:
    完成时间:




    电子信息工程系 应电子技术专业
    设计求实现功

    1设计相关求
    (1)留意走交通灯指示路口选取进行数采集模拟设计智交通灯系统设计十字路口例干道通车指示灯(绿黄红)显示时间次60S4S35S
    (2)显示方绿黄红指示状态
    (3)两数码进行倒计时显示
    (4)发光二极模拟交通灯显示状态颜色灯实现

    2设计实现功
    (1)遵循流程:
    |>|>|>|
    |       绿灯       |黄灯|        红灯         |

    (2)设计模拟交通灯智系统两数码进行显示红黄绿三种颜色指示灯模拟通车状态
    (3)干道通车循环:
    绿:35S 黄:4S 红:60S
    二 系统分析模块设计

    1 系统分析
    首先50MHZ晶振产生时钟信号分频电路分离出500HZ2HZ1HZ时钟信号利1HZ时钟进行减法计数利2HZ时钟实现黄灯闪烁利500HZ实现数码动态显示扫描计数值分成十位位通译码电路实现数码显示
    交通灯控制部分设计框图:

    显示部分设计框图:

    2 模块电路设计

    (1) 分频模块设计
    晶振产生50MHz信号分离出clk_500clk_2hzclk_1hz三种时钟信号
    A 100000分频> clk_500

    B 500分频(clk_500)> clk_1

    C 250分频(clk_500)> clk_2


    (2)交通灯模式选择:分四种模式分支路绿灯亮黄灯闪烁红灯亮黄灯闪烁


    (3)灯控制模块:


    (4)倒计时模块:


    (5)显示模块(倒计时显示)
    A 四进制计数器产生四种模式

    B 2位四路译码控制数码位选

    C 16—4选通器次送数(四位)进行显示

    D 七段数码译码产生数码段选


    (6)输出模块
    A 灯状态输出


    B 位选信号输出选择中两路(时间数值超100)

    C : 段选输出



    D 蜂鸣器控制禁止蜂鸣器发出声音













    三 顶层电路设计测试仿真

    1 顶层电路原理图(已进行引脚配置)



    2 测试仿真
    首先模块电路进行波形仿真成功编译整顶层设计电路进行引
    脚配置
    选择JTAG模式生成*sof文件进行载分析查显示结果
    四 设计总结

    1设计总结
    次交通灯设计基VHDL语言程序设计种硬件语言知道课程设计程中仅仅网找资料书中学外面种学学制作程中整项目法独立完成中问少找资料体会做完整项目需流程设计思想真容易然次设计收获颇丰完成项目设计通网络找许相关资料问善长方面知识学扩充视野点点关方面知识解相信错开始

    附录:(底层电路设计模块程序)
    1分频部分
    fenpin104:
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity fenpin104 is
    port(clk_in in std_logic 时钟信号输入输出端口
    clk_outout std_logic)
    end fenpin104
    architecture a of fenpin104 is
    signal countinteger range 0 to 99999计数值10万分频
    begin
    process(clk_in)
    begin
    if clk_in'event and clk_in'1' then
    if count99999 then 计数值产生进位时清零
    count<0
    clk_out<'1'
    else
    count clk_out<'0'
    end if
    end if
    end process
    end a

    fenpin500:
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity fenpin500 is
    port(clk_in in std_logic
    clk_outout std_logic)
    end fenpin500
    architecture a of fenpin500 is
    signal countinteger range 0 to 499
    begin
    process(clk_in)
    begin
    if clk_in'event and clk_in'1' then
    if count499 then
    count<0
    clk_out<'1'
    else
    count clk_out<'0'
    end if
    end if
    end process
    end a

    fenpin250:
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity fenpin250 is
    port(clk_in in std_logic
    clk_outout std_logic)
    end fenpin250
    architecture a of fenpin250 is
    signal countinteger range 0 to 249
    begin
    process(clk_in)
    begin
    if clk_in'event and clk_in'1' then
    if count249 then
    count<0
    clk_out<'1'
    else
    count clk_out<'0'
    end if
    end if
    end process
    end a


    2交通灯控制部分
    mode_choice
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity mode_choice is
    port(clk_1hzin std_logic
    mode_outout std_logic_vector(1 downto 0))
    end mode_choice
    architecture a of mode_choice is
    signal countinteger range 0 to 169
    begin
    process(clk_1hz)
    begin
    if clk_1hz'event and clk_1hz'1' then 总循环170s计时
    if count169 then
    count<0
    else
    count end if
    end if

    if count<90 then 1——90s模式0
    mode_out<00
    elsif count<100 then 91——100s模式1
    mode_out<01
    elsif count<160 then 101——160s模式2
    mode_out<10
    else 161——170s模式3
    mode_out<11
    end if

    end process
    end a

    daojishi
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity daojishi is
    port(clk_1hz in std_logic
    control in std_logic_vector(1 downto 0)
    time out std_logic_vector(7 downto 0))
    end daojishi
    architecture a of daojishi is
    signal geshistd_logic_vector(3 downto 0)
    begin
    process(clk_1hz)
    begin
    if clk_1hz'event and clk_1hz'1' then
    if control00 then 模式0位十位数
    if ge0000 then
    ge<1001
    if shi0000 then
    shi<1000
    else
    shi end if
    else
    ge end if
    end if

    if control01 then 模式1位十位数
    shi<0000
    if ge0000 then
    ge<1001
    else
    ge end if
    end if

    if control10 then 模式2位十位数
    if ge0000 then
    ge<1001
    if shi0000 then
    shi<0101
    else
    shi end if
    else
    ge end if
    end if

    if control11 then 模式3位十位数
    shi<0000
    if ge0000 then
    ge<1001
    else
    ge end if
    end if

    end if

    time(3 downto 0) time(7 downto 4)
    end process
    end a

    light_control
    library ieee
    use ieeestd_logic_1164all
    entity light_control is
    port(clk_2hz in std_logic
    control in std_logic_vector(1 downto 0)
    r1r2g1g2y1y2out std_logic)
    end light_control
    architecture a of light_control is
    begin
    process(control)
    begin 四种模式灯显示状态
    if control00 then
    r1<'0'g1<'1'y1<'0'r2<'1'g2<'0'y2<'0'
    elsif control01 then
    r1<'0'g1<'0'y1 elsif control10 then
    r1<'1'g1<'0'y1<'0'r2<'0'g2<'1'y2<'0'
    else
    r1<'0'g1<'0'y1 end if
    end process
    end a


    3 显示部分
    cnt4_v
    library ieee 四进制计数器
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity cnt4_v is
    port(clrenaclkin std_logic
    Qout std_logic_vector(1 downto 0)
    Coout std_logic)
    end cnt4_v
    architecture bha of cnt4_v is
    signal tempstd_logic_vector(1 downto 0)
    begin
    process(clrenaclk)
    begin
    if clk'event and clk'1' then
    if clr'0' then
    temp < 00
    Co< '0'
    else
    if ena'1' then
    if temp3 then
    temp<00
    Co <'1'
    else
    temp Co<'0'
    end if
    end if
    end if
    end if
    end process
    Q < temp
    end bha

    decode2_4V
    library ieee 二——四译码
    use ieeestd_logic_1164all
    entity decode2_4v is
    port( X in std_logic_vector(1 downto 0)
    Y out std_logic_vector(3 downto 0))
    end decode2_4v
    architecture beh of decode2_4v is
    begin
    process(X)
    begin
    if X00 then
    Y < 1110
    elsif X01 then
    Y < 1101
    elsif X10 then
    Y < 1011
    elsif X11 then
    Y < 0111
    else
    Y < XXXX
    end if
    end process
    end beh

    multi16_4_v
    LIBRARY IEEE 数选择(16——>4)
    USE IEEESTD_LOGIC_1164ALL
    ENTITY multi16_4_v IS
    PORT( sel IN STD_LOGIC_VECTOR(1 DOWNTO 0)
    in1 IN STD_LOGIC_VECTOR(3 DOWNTO 0)
    in2 IN STD_LOGIC_VECTOR(3 DOWNTO 0)
    in3 IN STD_LOGIC_VECTOR(3 DOWNTO 0)
    in4 IN STD_LOGIC_VECTOR(3 DOWNTO 0)
    out4_1 OUT STD_LOGIC_VECTOR(3 DOWNTO 0) )
    END multi16_4_v
    ARCHITECTURE behav OF multi16_4_v IS
    BEGIN
    PROCESS (in1in2in3in4sel)
    BEGIN
    CASE sel IS
    WHEN 00 > out4_1 < in1
    WHEN 01 > out4_1 < in2
    WHEN 10 > out4_1 < in3
    WHEN 11 > out4_1 < in4
    WHEN OTHERS > NULL
    END CASE
    END PROCESS
    END behav

    seven_v
    library ieee 7段译码
    use ieeestd_logic_1164all
    entity seven_v is
    port( X in std_logic_vector(3 downto 0)
    Y out std_logic_vector(7 downto 0))
    end seven_v
    architecture beh of seven_v is
    begin
    process(X)
    begin
    case X is
    when 0000 > Y < 11000000
    when 0001 > Y < 11111001
    when 0010 > Y < 10100100
    when 0011 > Y < 10110000
    when 0100 > Y < 10011001
    when 0101 > Y < 10010010
    when 0110 > Y < 10000010
    when 0111 > Y < 11111000
    when 1000 > Y < 10000000
    when 1001 > Y < 10010000
    when 1010 > Y < 10001000
    when 1011 > Y < 10000011
    when 1100 > Y < 11000110
    when 1101 > Y < 10100001
    when 1110 > Y < 10000110
    when 1111 > Y < 10001110
    when others > NULL
    end case
    end process
    end beh

    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 10 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    基于VHDL语言的HDB3编译码器的设计毕业论文

    数字基带信号的传输是数字通信系统的重要组成部分。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能在基带信道中传输,必须选择合适的码型,HDB3码因具有无...

    5年前   
    962    0

    基于plc的交通灯的设计课程设计

    任务说明书一、 控制要求:1、系统控制示意图由十字路口交通灯示意图可以知道,在南北和东西主干道上各有一盏红绿灯;在南北和东西人行道上各有一盏红灯和绿灯。2、控制要求 启动及停止按钮的控制...

    3年前   
    896    0

    基于VHDL的电子琴设计(含完整程序)

     课程设计报告 题 目 专业、学号 授课班号 学生姓名 指导教师 ...

    5年前   
    881    0

    开题报告-基于单片机的交通灯设计

    开题报告电气工程及自动化基于单片机的交通灯设计一、综述本课题国内外研究动态,说明选题的依据和意义当今社会,随着日益增长的社会发展,人们对于社活的水平也日益提高,不仅在物质享受方面有所提高,在精...

    4年前   
    1255    0

    基于单片机的交通灯显示系统的设计与研究课程设计

     XX学院课程设计课 程 单片机课程设计 题 目 交通灯系统 院 系 机电系 ...

    3年前   
    902    0

    基于plc的智能交通灯控制系统设计毕业论文

    PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。

    4年前   
    3113    0

    基于89C51的交通灯控制系统设计

    自从1858年英国人发明了原始的机械扳手交通灯之后,随后的一百多年里,交通灯改变了交通路况,也在人们日常生活中占据了重要地位,随着人们社会活动日益增加,经济发展,汽车数量急剧增加,城市道路日渐拥...

    5年前   
    1336    0

    eda课程设计vhdl语言的简易出租车计费器设计

    XX 学 院《EDA技术》课 程 设 计 题 目 简易出租车计费器设计 系 (部) 信息工程系 班 级 电本班 ...

    3年前   
    543    0

    单片机交通灯设计

    摘 要 根据STC10F04单片机的特点及交通灯在实际控制中的特点,本文提出一种用单片机自动控制交通灯及时间显示的方法。同时给出了软硬件设计方法,设计过程包括硬件电路设计和程序设计两大步骤...

    5年前   
    1740    0

    PLC交通灯设计教案生活中的交通灯人生中的红绿灯

    《生活中的交通灯 人生中的红绿灯》专业年级: 机电技术应用专业二年级授课教师: 授课班级: 班教材:高教版《PLC技术及...

    3年前   
    784    0

    (汇编语言、微机原理及接口技术)实验交通灯

     课程设计说明书 课程设计名称: 微机原理与接口技术课程设计 课程设计题目: 微机打印口外接交通灯模拟LED显示器 学 院 名 称: 信...

    2年前   
    475    0

    《大自然的语言》教学设计

    《大自然的语言》教学设计一、目标确定的依据1. 《课标》要求:(1)阅读说明性文章,能把握文章的基本观点,获取主要信息;(2)对课文的内容和表达有自己的心得,能提出自己的看法,并能运用合作的方...

    4年前   
    1499    0

    大自然的语言 教学设计

    年 级五学 科语文章(组)二学习内容大自然的语言学习内容分 析《大自然的语言》是人教版八年级上册第四单元的一篇说明文,是一篇传统课文,作者把一门科学——物候学介绍得浅显易懂,饶...

    5个月前   
    106    0

    《大自然的语言》教学设计

    积累“萌发、次第、翩然、孕育”四个双音节词,掌握它们的词义;积累“销声匿迹、衰草连天、风雪载途、周而复始、草长莺飞”五个短语,并学会运用。

    5年前   
    1268    0

    上海大学数字电子技术课程设计交通灯

    电子技术课程设计报告——交通灯控制电路XX大学机自学院自动化系自动化 专业姓名:学号:指导老师: 2018年X月X日一、 主要用途:交通信号灯使交通得以有效管制,对于疏导交通流量、提高道路通行...

    3年前   
    545    0

    单片机交通灯课程设计交通信号灯设计

    课程设计课程名称: 交通信号灯设计    学 院: 专 业: 测控技术与仪器 姓名: 学 号: ...

    3年前   
    844    0

    基于UML的图书管理系统设计课程设计

    滨江学院 统一建模语言课程设计报告( 2013 -- 2014 年度第 二 学期)课程名称: 统一建模语言课程设计 题 目: 图书馆管理系统 院 系: ...

    1年前   
    399    0

    基于8051的智能小车设计课程设计

    测控电路课程设计题目名称: 智能循迹小车 专业班级: ...

    2年前   
    587    0

    基于SpringBoot博客系统的设计与实现

     基于SpringBoot博客系统的设计与实现Design and implementation of bl...

    2年前   
    972    0

    基于单片机的时钟设计

    随着时间的推移,事物的发展越来越趋向于高科技,单片机的出现,受到了社会各界的广泛关注,这是由于单片机具备丰富的功能。单片机的特点为:尺寸小,价格低,抗干扰强,开发容易等。运用的范围也很广,如检测...

    4年前   
    1040    0

    文档贡献者

    文***享

    贡献于2019-06-09

    下载需要 10 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档