EDA实验报告实验三、序列检测器的设计


    实验三序列检测器设计
    预容
    1预序列发生器检测器基工作原理
    2画出实验原理草图
    3写出实验基步骤源程序
    二实验目
    1掌握序列检测器工作原理
    2学会状态机进行数字系统设计
    三实验器材
    PC机台EDA教学实验系统台载电缆根(已接)导线干
    四 实验求
    1 检测连续41’状态机画出状态转移图写出VHD代码(必做部分)
    2 解试验箱完成数分配器硬件实验(实验硬件操作首次请谨慎试验箱)
    3 检测10001110序列检测该序列输出1’ (部分选作)
    五实验原理实验容
    1 序列发生器原理(部分选作)
    数字信号传输数字系统测试中时需组特定串行数字信号产生序列信号电路称序列信号发生器
    实验求产生串序列10001110该电路计数器数选择器构成结构图图6-1示中锁存输出功消序列产生时出现毛刺现象:

    Clock
    计数器
    序列产生
    (数选择器)
    锁存输出




    图6-1 序列发生器结构图
    2序列检测器基工作程:
    序列检测器检测组组二进制码组成脉序列信号数字通信中着广泛应序列检测器连续收组串行二进制码果组码检测器中预先设置码相输出1否输出0种检测关键正确码收必须连续求检测器必须记住前次正确码正确序列直连续检测中收位码预置应码相检测程中位相等回初始状态重新开始检测状态图图6-2示:

    图6-2 序列检测器状态图
    3状态机基设计思想:
    状态连续变化数字系统设计中采状态机设计思想利提高设计效率增加程序读性减少错误发生率时状态机设计方法数字系统中种常设计方法般说标准状态机分摩尔(Moore)机米立(Mealy)机两种摩尔机中输出仅仅前状态值函数仅时钟升时发生变化米立机输出前状态值前输出值前输入值函数实验串二进制码中检测出已预置8位二进制码10001110增加位二进制码相增加状态加初始态9状态实现状态机图6-3示

    图6-3 8位二进制码10001110检测状态机

    注意:图作参考检测二进制码程
    4实验容:
    1序列发生器检测器代码:
    library ieee
    use ieeestd_logic_1164all
    entity string_detector is
    port(dclkrstin bit
    qout bit)
    end string_detector
    architecture my_arch of string_detector is
    type state is (zeroonetwothree)
    signal pr_statenx_statestate

    begin
    process(rstclk)
    begin
    if(rst'1')then
    pr_state elsif(clk'event and clk'1')then
    pr_state end if
    end process
    process(dpr_state)
    variable a integer

    begin
    case pr_state is
    when zero>
    q<'0'
    if(d'1') then nx_state else nx_state end if
    when one>
    q<'0'

    if(d'1') then nx_state else nx_state aa+1
    if(a5)then a0
    nx_state end if
    end if
    when two>
    q<'0'
    if(d'1') then nx_state else nx_state aa+1
    if(a5) then a0
    nx_state end if
    end if
    when three>
    q<'1'
    if(d'0') then nx_state else nx_state end if
    end case
    end process
    end my_arch


    2编译进行仿真图形

    3结果分析
    第段出现111q1第二段出现1111q11正确面出现11q0正确
    实验中定延迟
    4心体会
    较长代码次性编写运行成功需机运行加调试果运行出错修改正确结果实验程步认真操作出错认真分析实验结果找出错误根源认真细致实验结果达理想效果
    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 2 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    交通灯控制系统EDA实验报告

    交通灯控制系统EDA实验报告2014姓名: 学号:一、 课题名称用状态机设计的交通信号控制系统。二、 实验目的利用所学习的EDA相关知识,完成对交通灯控制系统的设计并实现,提高对所学知识的理解...

    3年前   
    1140    0

    单摆的设计与研究(实验报告)

    肇 庆 学 院电子信息与机电工程 学院 普通物理实验 课 实验报告 级 班 组 实验合作者 实验日期 姓名: 学号 老师评定 ...

    1年前   
    789    0

    软件设计过程实验报告

     软件开发过程 实验一 软件需求分析 一、目的和意义 对本书第二和三章的内容做进一步的掌握,写出软件需求规格说明书。为下面的实习奠定基础。 二、实习内容 1、确定软件题目(学生可...

    11年前   
    20045    0

    大学物理实验课程设计实验报告

    大学物理实验课程设计实验报告  北方民族大学    大学物理实验(设计性实验)    实验报告   指导老师:王建明    姓    名:张国生    学    号:XX0233    学  ...

    9年前   
    725    0

    信号与系统设计性设计性实验报告

    《信号与系统实验》综合设计性实验报告册实验项目:选频网络的设计及应用研究 学院:物理与电子工程学院 年级专业班: 电子 姓名: 学号: 成绩: 实验地点:电子楼指导老师:...

    1年前   
    313    0

    初三化学实验报告

    初三化学实验报告  实验步骤   (1) 在试管中加入5mL5%的过氧化氢溶液,把带火星的木条伸入试管;   (2) 加热实验(1)的试管,把带火星的木条伸入试管;   (3) 在另一支试管中...

    9年前   
    601    0

    ping程序课程设计实验报告

    Windows网络程序设计课程设计 课 程 名 称 ping程序设计 姓   名 ...

    2年前   
    478    0

    《高级语言程序设计》实验报告

    1.掌握在Visual C++6.0环境下C程序的建立、编辑、编译和执行过程。2.掌握C程序的最基本框架结构,完成简单程序的编制与运行。3.了解基本输入输出函数scanf()、printf ()...

    2年前   
    441    0

    Visual FoxPro 课程设计实验报告

     中国最大的商务办公文档下载基地: http://www.word98.com/ ╔---------------------------------------------...

    10年前   
    11776    0

    C语言程序设计实验报告

    C语言程序设计实验报告  实验名称 计算出1000以内10个最大素数之和   实验目的   1、熟练掌握if、if…else、if…else if语句和witch语句格式及使用方法,掌握if语...

    10年前   
    955    0

    java课程设计实验报告样例

    分数_______嘉应学院计算机学院实验报告课程名称:面向对象程序设计课程设计(Java) 实验名称:”动物换位”程序设计 指导教师:肖振球 实验地点:_居家学习_实验时间:2020.03...

    4年前   
    869    0

    远程在线考试系统设计实验报告

    系统设计实验报告 ——远程在线考试系统目 录软件需求说明书························1 ...

    11年前   
    685    0

    《高级语言程序设计》实验报告

    设计一种用单链表存储多项式的结构(每个结点存储一项的系数和指数,类型都为int)并编写一个产生多项式链表的函数和一个实现两个多项式相加和相乘的函数。

    5年前   
    3511    0

    车辆管理系统实验报告课程设计

     成绩: 《C++程序设计实践》报告专 业: 软件工程 班 级: 学 号: 姓 ...

    10个月前   
    274    0

    实验报告-电力电子课程设计

    掌握晶闸管仿真模型模块各参数的含义。理解晶闸管的特性。

    4年前   
    1342    0

    DSP设计科学计算器实验报告

    基于DSP的科学型计算器设计一、题目要求:基于DSP F2812利用实验箱及软件编程设计一款科学型计算器使其满足如下功能:(1) 能够实现按键数值的识别,并在数码管上显示(2) 能够进行简单的...

    3年前   
    551    0

    化学实验报告

    化学实验报告  化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生...

    11年前   
    1042    0

    **学院实验报告

    实验内容:使用金融数据库查找宏观经济及行业相关数据,使用Excel进行作图分析。根据所得数据以及图形分析相关问题。实验目的:掌握金融数据库软件的相关使用,操作,快捷查找数据,能够制作美观图形,对于数据有效分析。

    3年前   
    679    0

    教育实验报告

    教育实验报告  教育实验报告  对某种教育现象实验后,要对整个实验过程进行全面总结,提出一个客观的、概括的、能反映全过程及其结果的书面材料,即谓教育实验报告。教育实验报告可分为三部分:①前言。...

    12年前   
    747    0

    电路实验报告

    实验一 元件特性的示波测量法一、实验目的1、学习用示波器测量正弦信号的相位差。2、学习用示波器测量电压、电流、磁链、电荷等电路的基本变量3、掌握元件特性的示波测量法,加深对元件特性的理解。二...

    3年前   
    3066    0

    文档贡献者

    文***享

    贡献于2023-09-14

    下载需要 2 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档