EDA作业2-LED彩灯


    EDA作业二LED彩灯
    实验务
    8LED实现彩灯功进行波形仿真通实验进步掌握采计数判断方式实现分频VHDL编程方法移位运算符
    二实验环境
    软件实验环境Quartus II 81开发软件
    三实验原理
    彩灯LED定规律显示亮灭组合彩灯设计采4种亮灭方式时钟脉CLK计数器加1判断计数器中数值达25000000时会定方式点亮8ledFPGA输出数应该首先10000000隔1秒钟变成11000000……直变化111111样次点亮灯形成流水灯8灯点亮时操作灯恢复初始状态:灯亮然次流水果右移位出现右流水现象反左流水
    流水灯原理:00方式流水灯次亮灭方式01方式两边中间点亮方式10方式连续两灯时流水灯亮灭11方式中间两边点亮方式
    实验程序定义彩灯端口部分结构部分(控制部分)进程部分(分频部分实验未注释部分出)
    四实验步骤
    1建立新工程项目:
    开Quartus II软件进入集成开发环境点击File→New project wizard建立工程项目caideng
    2建立文编辑文件:
    点击File→New该项目新建VHDL源程序文件caidengv输入试验程序中源程序代码保存选择工具栏中钮启动编译编译中发现错误找出更正错误直编译成功止
    3编译工程项目:
    Quartus II页面选择Processing—Start Compilation点击工具栏钮启动编译直出现Full Compilation Report话框点击OK
    4波形仿真:
    建立波形文件caidengvwf 选择相应节点进行功仿真仿真图
    五实验现象:
    分设置selmode 00011011波形仿真图
    00方式仿真图
    01方式仿真图
    10方式仿真图
    11 方式仿真图
    六实验程序
    LIBRARY IEEE
    USE IEEEstd_logic_1164ALL
    USE IEEEstd_logic_ARITHALL
    USE IEEEstd_logic_UNSIGNEDALL
    ENTITY CaiDeng IS
    port
    (
    CLKIN std_logic
    RSTin std_logic
    SelModein std_logic_vector(1 downto 0)彩灯花样控制
    Lightout std_logic_vector(7 downto 0)
    )
    END CaiDeng
    ARCHITECTURE control OF CaiDeng IS
    SIGNAL clk1msstd_logic'0'
    SIGNAL cnt1std_logic_vector(3 downto 0)0000
    SIGNAL cnt2std_logic_vector(1 downto 0)00
    SIGNAL cnt3std_logic_vector(3 downto 0)0000
    SIGNAL cnt4std_logic_vector(1 downto 0)00

    BEGIN
    P1PROCESS(clk)
    BEGIN
    if(clk'EVENT AND clk'1')then
    if selmode00 then 第种彩灯花样程序
    if cnt11111 then
    cnt1<0000
    else
    cnt1< cnt1+1
    end if
    case cnt1 is
    when 0000>light<10000000
    when 0001>light<11000000
    when 0010>light<11100000
    when 0011>light<11110000
    when 0100>light<11111000
    when 0101>light<11111100
    when 0110>light<11111110
    when 0111>light<11111111
    when 1000>light<11111110
    when 1001>light<11111100
    when 1010>light<11111000
    when 1011>light<11110000
    when 1100>light<11100000
    when 1101>light<11000000
    when 1110>light<10000000
    when others>light<00000000
    end case
    elsif selmode01 then 第二种彩灯花样程序
    if cnt211 then
    cnt2<00
    else cnt2< cnt2+1
    end if
    case cnt2 is
    when 00>light<10000001
    when 01>light<11000011
    when 10>light<11100111
    when 11>light<11111111
    when others>light<00000000
    end case
    elsif selmode10 then 第三种彩灯花样程序
    if cnt31111 then
    cnt3<0000
    else cnt3 end if
    case cnt3 is
    when 0000>light<11000000
    when 0001>light<01100000
    when 0010>light<00110000
    when 0011>light<00011000
    when 0100>light<00001100
    when 0101>light<00000110
    when 0110>light<00000011
    when 0111>light<00000110
    when 1000>light<00001100
    when 1001>light<00011000
    when 1010>light<00110000
    when 1011>light<01100000
    when 1100>light<11000000
    when others>light<00000000
    end case
    elsif selmode11 then 第四种彩灯花样程序
    if cnt411 then
    cnt4<00
    else cnt4< cnt4+1
    end if
    case cnt4 is
    when 00>light<00011000
    when 01>light<00111100
    when 10>light<01111110
    when 11>light<11111111
    when others>light<00000000
    end case
    end if
    end if
    END PROCESS P1

    P2PROCESS(clk) 分频进程
    variable cntinteger range 0 to 1000
    BEGIN
    IF(RST'0')then
    cnt0
    ELSIF(clk'EVENT AND clk'1')then
    if cnt<999 then
    cntcnt+1
    clk1ms<'0'
    else
    cnt0
    end if
    end PROCESS P2
    end control


    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 2 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    集成电路EDA技术

    本文简述了集成电路的传统设计方法和现代设计方法,并对两者的区别进行了比较,以实例为基础介绍了集成电路的设计过程。对可编程逻辑器件的定义、分类、功能等进行详尽的阐述,介绍了可编程逻辑器件的设计过程...

    4年前   
    1440    0

    EDA课程设计时钟

    EDA课程设计姓名: 学号:班级:自动化设计题目多功能数字钟电路设计设计任务及要求多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用...

    3年前   
    776    0

    EDA与数字系统课程设计

     课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级...

    3年前   
    568    0

    EDA自动电子钟 数字时钟

    燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目自动电子钟设计技术参数●用24小时制进行...

    2年前   
    457    0

    平安夜亮起的彩灯飘落雪花

    平安夜亮起的彩灯飘落雪花  平安夜,我向圣诞老人许了愿:我希望不管你的脚有多么臭,明早你穿起袜子时,都能收到我托圣诞老人带给你的满满的祝福,温暖你的心和脚ㄚ子!  即将来到的节日,早早的把你想...

    12年前   
    490    0

    基于单片机的彩灯控制器设计毕业设计

     题目: 基于单片机的节日彩灯控制器的设计 作 者 姓 名: 学 号: ...

    3年前   
    563    0

    数电课程设计报告 音乐彩灯控制器

     电子技术课程设计 题目名称: 音乐彩灯控制器 1. 设计任务和要求 (1) ...

    5年前   
    1187    0

    圣诞树上耀眼的彩灯

    圣诞树上耀眼的彩灯  见到信息许心愿,今日帮你来实现,爱情友情和亲情,健康如意和财源,只要诚心来期盼,三秒之内来身边,哄骗短信是炸弹,只为逗你在圣诞!圣诞要乐哟!  度过了浪漫平安夜,跑过了幸...

    9年前   
    386    0

    基于FPGA的多路彩灯控制器的设计课程设计

     FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目...

    3年前   
    535    0

    2018年元宵节祝福语:幸福像彩灯

    元宵节祝福语:幸福像彩灯  一份诚挚的祝福,祝你在元宵佳节里,开心像鞭炮,噼噼叭叭好快乐;幸福像彩灯,照亮甜蜜的生活,甜蜜像汤圆,圆出一生一世的执着!  圆圆锅子煮汤圆,圆圆心情庆团圆,彩灯挂...

    6年前   
    474    0

    eda拔河游戏机课程设计报告

    报告书写要求1、 报告的撰写要求条理清晰、语言准确、表述简明。报告中段首空两个字符,中文字体为宋体五号,数字、字符、字母为Times New Roman五号,且单倍行距。2、 报告中插图应与文...

    3年前   
    541    0

    EDA-Verilog HDL期末复习题总结必过

    EDA-Verilog HDL期末复习题总结必过选择题1. 大规模可编程器件主要有 FPGA、 CPLD 两类, 下列对 FPGA 结构与工作原理的描述中,正确的是( C )。A. FPG...

    3年前   
    1622    0

    交通灯控制系统EDA实验报告

    交通灯控制系统EDA实验报告2014姓名: 学号:一、 课题名称用状态机设计的交通信号控制系统。二、 实验目的利用所学习的EDA相关知识,完成对交通灯控制系统的设计并实现,提高对所学知识的理解...

    3年前   
    1143    0

    EDA实验报告实验三、序列检测器的设计

    实验三、序列检测器的设计一、预习内容1、预习序列发生器和检测器的基本工作原理;2、画出实验原理草图;3、写出实验的基本步骤和源程序。二、实验目的1、掌握序列检测器的工作原理;2、学会用状态机进...

    7个月前   
    238    0

    EDA课程设计报告60秒倒计时器MAXII240T

    EDA课程设计报告设计题目:基于verilog的倒计时器设计 专业班级: 姓名学号: ...

    4年前   
    759    0

    eda直流电机测控仪课程设计

    eda直流电机测控仪课程设计1 绪论1.1 FPGA背景目前以高速集成硬件描述语言(VHDL)所完成的电路设计,可以经过简 单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设...

    1年前   
    351    0

    燕山大学EDA课程设计游戏机

    燕山大学EDA课程设计游戏机一、设计题目及要求1、设计题目:游戏机。2、题目要求:用三位数码管显示0—7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时,为赢。*...

    8个月前   
    194    0

    EDA课程设计报告书电话按键显示器

    EDA课程设计报告书 题目:电话按键显示器 姓名: 班级:10级电子信息工程班 学号: 成绩: 一、 设计题目及要求 ...

    1年前   
    281    0

    合肥工业大学EDA课程设计频率计

     课 程 设 计 任 务 书(2014 ~2015第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级)...

    3年前   
    500    0

    人教小学美术五上《第12课元宵节里挂彩灯》

    第15课 元宵节里挂彩灯课题:《元宵节里挂彩灯》教材:人教版小学五年级美术上册授课老师:课时:1课时年级:五年级授课时间:教学领域:综合 探索教学目的: 1 知识训练点:了解元宵节的风俗...

    2年前   
    882    1

    文档贡献者

    文***品

    贡献于2023-05-22

    下载需要 2 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档