EDA自动电子钟 数字时钟


    燕山学课程设计(文)务书
    院(系):电气工程学院 基层教学单位:电子实验中心
    学 号

    学生姓名

    专业(班级)

    设计题目
    动电子钟






    ●24时制进行时间显示
    ●够显示时分钟
    ●电00:00:00开始显示






    ●采6静态数码显示时间



    ●学会Max+PlusII软件实验箱
    ●独立完成电路设计编程载连接电路调试
    ●参加答辩书写务书




    1 解EDA基知识学软件Max+PlusII发务书开始电路设计
    2 学实验箱继续电路设计
    3 完成电路设计
    4 编程载连接电路调试验收
    5 答辩书写务书





    数字电子技术基础阎石编高等教育出版社
    EDA课程设计指导书
    指导教师签字

    基层教学单位签字


    2011年3 月 18 日

    目 录


    第1章 设计说明……………………………………………………………………………3
    11设计思路………………………………………………………………………3
    12模块介绍………………………………………………………………………3
    13真值表…………………………………………………………………………4
    第2章 原理图………………………………………………………………………………5
    第3章 波形访真图…………………………………………………………………………7
    第4章 脚锁定硬件连线……………………………………………………………10
    41脚锁定………………………………………………………………………10
    42硬件连线………………………………………………………………………10
    第5章 总结 ………………………………………………………………………………11
    参考文献 ……………………………………………………………………………………12








    第章 设计说明
    设计思路
    动电子钟求000000开始显示235959实际60*60*24进制加法器固电路设计时需已加法计数器改成60*60*24进制加法计数器行整计数器分三模块模块两十进制计数器组成

    74160真值表

    二 模块介绍
    模块:模块秒模块十进制计数器十进制计数器该六进制计数器组成输出分接秒位十位
    模块二:模块二分模块构成秒模块样输出分接分位十位
    模块三:模块三时模块先两十进制计数器组成100进制计数器改24进制输出分接时位十位

    三 真值表

    1A
    1B
    1C
    1D
    2A
    2B
    2C
    2D
    3A
    3B
    3C
    3D
    4A
    4B
    4C
    4D
    5A
    5B
    5C
    5D
    6A
    6B
    6C
    QD
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
























    1
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
























    1
    0
    0
    1
    1
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
























    1
    0
    0
    1
    1
    0
    1
    0
    1
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
























    1
    0
    0
    1
    1
    0
    1
    0
    1
    0
    0
    1
    1
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
























    1
    0
    0
    1
    1
    0
    1
    0
    1
    0
    0
    1
    1
    0
    1
    0
    1
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    1
    0
    0
    0
























    1
    0
    0
    1
    1
    0
    1
    0
    1
    0
    0
    1
    1
    0
    1
    0
    1
    1
    0
    0
    0
    1
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0
    0


    第二章 原理图
    模块

    模块二

    模块三

    总原理图

    第三章 仿真波形

    秒位进十位时输出波形图





    秒进分时输出波形图










    分位进十位输出波形




    分进时时输出波形图











    时位进十位时输出波形图





    回零点时输出波形图









    第四章 脚锁定硬件连线
    41脚锁定
    CLK锁175
    M1M2M3M4M5M6分锁9495969799100
    1A1B1C1D2A2B2C2D分锁127128131132133134135136
    3A3B3C3D4A4B4C4D分锁139140141142143144147148
    5A5B5C5D6A6B6C6D分锁7583858687888990
    42硬件连线
    3D03D13D23D33D43D53D63D7分接7583858687888990
    CLK脉脚接175

























    第五章 总结
    通次EDA电路设计仅学会Max+PlusII软件完成电路设计进步加深数字电路认识通实践深入解门电路组合逻辑电路触发器时序逻辑电路等设计方法学会基数字电路设计电子产品原理程
    总说次设计动电子钟设计较成功然设计中遇问题老师辛勤指导终迎刃解点成感终觉时学知识实价值达理实际相结合目仅学少知识锻炼力路更加清楚认识时未更信心
    前总觉课知识难实际应联系起通次设计增强动手力深刻体会实际动手操作重性设计程中充分认识数字技术足发现学缺点例电路元件熟悉总体设计思路明确等等学中努力改正改进
    外希学校时种课程设计机会便知识更理解








    参考文献
    1数字电子技术基础 阎石编 高等教育出版社
    2EDA课程设计指导书 郑兆兆等编














    燕山学课程设计评审意见表
    指导教师评语:

    ①该生学态度 (认真 较认真 认真)
    ②该生迟早退现象 ( )
    ③该生赖进行设计情况 ( )


    时成绩: 指导教师签字:

    2011 年 3 月18日
    图面成绩:
    答辩组评语:

    ①设计巧妙实现设计求创新
    ②设计合理实现设计求
    ③实现部分设计求
    ④没完成设计求者实现部分设计求

    答辩成绩: 组长签字:

    2011年 3 月 18 日
    课程设计综合成绩:
    答辩组成员签字:




    2011年 3 月 18 日

    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 22 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    EDA课程设计时钟

    EDA课程设计姓名: 学号:班级:自动化设计题目多功能数字钟电路设计设计任务及要求多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用...

    3年前   
    784    0

    数字电子钟逻辑电路设计《EDA技术》课程设计报告

    序号 综合成绩优秀( )良好( )中等( )及格( )不及格( )教师(签名)批改日期《EDA技术》课程设计报告 ...

    3年前   
    551    0

    EDA与数字系统课程设计

     课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级...

    3年前   
    572    0

    数字电路课程设计报告数字电子钟逻辑电路设计

     数字电路课程设计报告设计课题: 数字电子钟逻辑电路设计 班 级:电子科学与技术 姓 名: 学 号: ...

    1年前   
    273    0

    数字电子钟电路设计电子课程设计报告

     (电子技术课程) 设计说明书 数字电子钟电路设计 起止日期: 2016 年 11月14日 至 2016年 11月 18 日 学...

    5年前   
    1513    0

    数电课程设计数字电子时钟的实现

    课 程 设 计 报 告设计题目:数字电子时钟的设计与实现班 级:学 号:姓 名: 指导教师:设计时间:摘 要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表...

    5年前   
    1871    0

    集成电路EDA技术

    本文简述了集成电路的传统设计方法和现代设计方法,并对两者的区别进行了比较,以实例为基础介绍了集成电路的设计过程。对可编程逻辑器件的定义、分类、功能等进行详尽的阐述,介绍了可编程逻辑器件的设计过程...

    4年前   
    1455    0

    电子钟装配大赛活动总结

    电子钟装配大赛活动总结  在这个朝气蓬勃、鸟语花香之际,我们迎来了第十二届文化艺术节。4月12日下午4点30分,机械与电子工程系在青春广场成功举办了电子钟装配大赛活动。此次大赛活动是由我系团总...

    11年前   
    489    0

    电子钟课程设计心得

    电子钟课程设计心得  这次电子技术课程设计,我很用心的去完成,当总原理图绘好的那一刻,心里有说不出的满足感。从这次课程设计中,我真正学到了很多有用的知识。  拿到课题后,我首先将《数字电子技术...

    12年前   
    621    0

    EDA作业2-LED彩灯

    EDA作业二--LED彩灯一、实验任务让8个LED实现彩灯的功能,并进行波形仿真。通过这个实验,进一步掌握采用计数与判断的方式来实现分频的VHDL的编程方法以及移位运算符的使用。二、实验环境软...

    1年前   
    268    0

    基于单片机的时钟设计

    随着时间的推移,事物的发展越来越趋向于高科技,单片机的出现,受到了社会各界的广泛关注,这是由于单片机具备丰富的功能。单片机的特点为:尺寸小,价格低,抗干扰强,开发容易等。运用的范围也很广,如检测...

    4年前   
    1059    0

    电子钟课程设计心得(精选多篇)

    电子钟课程设计心得(精选多篇)第一篇:电子钟课程设计心得这次电子技术课程设计,我很用心的去完成,当总原理图绘好的那一刻,心里有说不出的满足感。从这次课程设计中,我真正学到了很多有用的知识。拿到...

    10年前   
    856    0

    全数字自动化数控加工设备建设项目可行性研究报告

    全数字自动化数控加工设备建设项目 山**联机械制造有限公司 全数字自动化数控加工设备建设项目 可行性研究报告  山**联机械制造有限公司 二0一二年九月   目      录 ...

    12年前   
    11379    0

    桌面时钟设计与实现实习报告

    XX大学信息工程学院桌面时钟设计与实现实习报告桌面时钟设计与实现学 号 姓 名 专业班级 指导教师 实践日期2014年1月6日-2014年1月17日目 录一、综合训练目的与要求 1...

    4年前   
    723    0

    关于时钟系统在交换系统中的应用

    关于时钟系统在交换系统中的应用  时钟系统在交换系统中的应用如下文  1、节点、中继交换提供一定质量的定时信号;  2、上级局时钟进行同步,保证局间的数据传输的可靠性,正确性。  基于以上原因...

    9年前   
    446    0

    2017年拓展游戏:扮时钟

    拓展游戏:扮时钟  参与人数:3个人一组  时间:5分钟  场地:不限  道具:白板或墙壁,笔  游戏规则:  1、培训师在白板或墙壁上画一个大的时钟模型,分别将时钟的刻度标示出来。  2、培...

    7年前   
    453    0

    论文-多功能时钟毕业设计

    本设计采用LCD液晶屏幕显示系统,以STC89C52RC单片机为核心,由键盘、温度采集、定时闹铃、日期提醒等功能模块组成。基于题目基本要求,本系统对时间显示、闹铃方式进和温度采集系统行了重点设计。

    6年前   
    1463    0

    数电电子时钟课程设计

    专业课程设计报告题目:数字电子钟课程设计系 别 电气工程系 专业班级 电气班 学生姓名 指导教师...

    3年前   
    689    0

    大班数学活动认识时钟的教案汇编

    大班数学活动:认识时钟的教案 数学教案  【数学教案】   活动目标   1、使幼儿认识时钟,能叫出名称,基本掌握钟面的主要结构。   2、使幼儿知道时针、分针及它们之间的运转关系,...

    5年前   
    1075    0

    EPG服务器时钟同步说明

    EPG服务器时钟同步说明  1、利用一台HP 140G3服务器(服务器位置更改,需要石尚坤更新设备记录)作为四达EPG服务器的时钟同步服务器端。服务器标签: EPG时钟同步,服务器IP:1...

    12年前   
    12193    0

    文档贡献者

    静***雅

    贡献于2022-04-01

    下载需要 22 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档