2023年数字逻辑与数字系统设计教学大纲


    2023年数字逻辑数字系统设计教学纲
    第篇:数字规律数字系统设计教学纲

    西安电子科技高校
    卓越工程师教化培育支配〞试点课程教学纲
    数字规律数字系统设计〞教学纲
    课程编号:OE2121017 课程名称:数字规律数字系统设计
    英文名称: Digital Logic and Digital
    System Design 学
    时:60

    分:4 课程类型:必修
    课程性质:专业基础课 适专业:电子信息通信工程〔类〕
    开课学期:4 先修课程:高等数学高校物理电路分析模拟电子线路 开课院系:电工电子教学基相关学院
    课程教学务目标
    数字规律数字系统设计重学科基础课该课程配套数字规律数字系统设计试验〞课程紧密结合问题驱动案例教学强化实践实力培育导通课程讲授单元试验综合设计项目作业设计报告撰写研讨讲评等环节实现学问实力矩阵中112212122526364142实力求
    求学生驾驭数字电路基概念基原理基方法解电子设计动化〔EDA:Electronic Design Automation〕技术工具数字电路部分求学生驾驭数制编码规律代数规律函数学问驾驭组合规律电路分析设计方法熟识常中规模组合规律部件功应驾驭步时序规律电路分析设计方法典型中规模时序规律部件EDA设计技术部分需解现代数字系统设计方法程学硬件描述语言解高密度编程规律器件基原理开发程驾驭EDA设计工具培育学生设计较规模数字电路系统实力
    课程教学特点目:
    〔1〕课程概念性实践性工程性强教学中应特留意理联系实际工程应背景
    〔2〕学生驾驭典数字规律电路基概念设计方法〔3〕驾驭EDA工具设计数字电路方法
    〔4〕课硬件描述语言(HDL)融合章中软件台进行堂仿真 通西安电子科技高校
    卓越工程师教化培育支配〞试点课程教学纲
    课试验教学 学生驾驭新数字系统设计技术然现代设计员已少运传统设计技术传统设计学生直观解数字电路工作EDA设计工具进行操作供应说明学生进步解动化设计技术优点
    胜利规律电路设计员必需深化理解数字规律设计相关基概念娴熟驾驭EDA设计工具运
    二课程课程联系分工
    数字规律数字系统设计探讨集成电路器件外部特性门电路部晶体工作原理状态转换作定性解
    数字规律数字系统设计学科基础中位表达作门课程完性电子线路体系结构特点表达续课程服务目续专业课程计算机组成原理微机原理接口技术等数字电路系统高度集成表达数字电路系统设计微处理器系统设计嵌入式系统数字通信等续课程进行基础学问准备
    三课程容基求
    〔〕数制编码〔建议3学时〕学数制表示方法常编码 1.基求
    〔1〕驾驭常数制〔281016进制数〕表示方法互相转换方法
    〔2〕驾驭常编码〔842BCD码5421BCD码余3码格雷码等〕表示方法 2.重点难点
    重点:二进制十六进制 难点:格雷码驾驭
    3.说明:驾驭常编码表示方法
    〔二〕规律代数规律函数化简〔建议10学时〕
    学规律代数基运算函数表示方式解规律函数化简方法学硬件描述语言〔HDL〕描述规律函数基结构熟识规律函数HDL间应关系1.基求
    〔1〕娴熟驾驭基规律运算规律门
    〔2〕解规律代数基定理法公式解规律函数代数化简法〔3〕驾驭规律函数标准表达式常五种表达式互相转换方法〔4〕够HDL描述真值表熟识规律函数HDL表达方式〔5〕熟识规律函数卡诺图化简法
    〔6〕驾驭包含关项规律函数表示方法化简方法 2.重点难点
    重点:规律函数两种标准表示形式HDL表达方式 难点:五种表达式间互相转化 西安电子科技高校
    卓越工程师教化培育支配〞试点课程教学纲
    3.说明:5变量规律函数化简作求
    〔三〕组合规律电路〔建议10学时〕
    学组合规律电路分析方法设计方法学组合规律HDL描述方式 1.基求
    〔1〕驾驭组合电路分析方法设计方法
    〔2〕熟识组合规律电路HDL设计方法驾驭设计流程图绘制方法
    〔3〕熟识常MSI组合规律部件〔变量译码器数选择器〕规律功扩展方法应
    〔4〕驾驭译码器数选择器HDL描述方式
    〔5〕驾驭MSI器件构成组合电路设计方法分析方法〔6〕解组合电路竞争险现象消退方法 2.重点难点
    重点:门电路进行组合电路设计 难点:中规模集成电路芯片应
    3说明 支配组合规律探讨试验
    〔四〕触发器〔建议6学时〕
    学触发器工作原理功描述方法学触发器HDL描述方法 1.基求
    〔1〕驾驭基RS触发器常触发〔DTJK〕触发器规律功描述方法〔2〕触发器HDL描述方法〔包括行级描述结构化描述〕〔3〕熟识常集成触发器规律符号刚序图画法〔4〕驾驭触发器HDL描述方法中触发电触发 2.重点难点
    重点: 触发器种描述方法
    难点:触发器电路HDL描述刚序波形
    3.说明:触发器部分求记忆规律符号驾驭规律功触发器部电路做求支配集成触发器试验
    〔五〕时序规律电路〔建议16学时〕
    学步时序电路分析方法典型步时序电路设计方法时序电路HDL描述1.基求
    〔1〕驾驭步时序电路分析方法求根电路正确列出状态表画出状态刚序图分析功
    〔2〕解步时序电路般设计方法步骤驾驭定状态步时序电路设计方法
    〔3〕驾驭时序电路HDL描述方法 西安电子科技高校
    卓越工程师教化培育支配〞试点课程教学纲
    〔4〕驾驭典型MSI时序规律部件〔74LS16174LS194〕规律功扩展方法应〔5〕学状态机HDL描述方法驾驭困难时序规律电路HDL描述方法〔6〕驾驭MSI典型步时序电路分析方法设计方法:
    便模值计数器移位型计数器序列码发生器〔7〕驾驭典型时序电路HDL描述方法
    〔8〕解异步时序电路特点
    2.重点难点
    重点:电路启动校正设计MSI时序规律部件规律功应 难点:时序规律点状态机HDL描述
    3.说明:学章求懂器件手册支配计数器移位寄存器应试验
    〔六〕集成规律门〔建议3学时〕1.基求
    〔1〕解典型TTL非门基工作原理驾驭外特性参数〔2〕驾驭集电极开路门三态门特点
    〔3〕驾驭MOS规律门〔CMOS〕特点运方法 2.重点难点
    重点:TTL非门外特性参数 难点:集电极开路门
    〔七〕脉波形产生整形〔建议3学时〕解脉电路分析方法 1.基求
    〔1〕解典型脉电路〔单稳谐施密特触发器〕基特点脉电路分析方法
    〔2〕驾驭555定时器基工作原理典型应
    〔3〕驾驭晶体振荡器施密特单稳集成电路基原理运方法2.重点难点 重点: 555定时器
    难点:振荡电路性提高需考虑素 3.说明:支配脉电路产生整形试验
    〔八〕存贮器编程器件〔建议4学时〕1.基求
    〔1〕驾驭ROM基工作原理种编程方法〔2〕解静态RAM动态RAM基工作原理
    〔3〕解编程器件部结构特点 资源 参数选型根 西安电子科技高校
    卓越工程师教化培育支配〞试点课程教学纲
    (4)结合试验 逐步驾驭FPGA仿真设计技术 2.重点难点
    重点:ROM基工作原理 FPGA仿真设计技术 难点:ROM实现组成规律函数 FPGA仿真设计技术
    〔九〕DAAD〔建议1学时〕1.基求
    〔1〕解DAAD转换器基原理技术指标〔2〕解典型集成DAAD芯片特点 2.重点难点
    重点:DAAD转换器技术指标 难点:DAAD转换器基原理
    四布置作业
    综合设计(1)VHDL设计数字频率计(结合试验FPGA实现)综合设计(2)VHDL设计DDS信号发生器(结合试验FPGA实现)系统设计完成通EDA软件仿真FPGA系统实现验证期间支配两次探讨第次设计方案评审讲评次次实现结果报告讲评
    五教学支配方式
    总学时 60 学时讲课 56 学时探讨4学时试验单独开课作业接受开放式试验方式利课外时间进行
    六考核方式
    1期末笔试(闭卷考试开卷考试半开卷半闭卷考试)占60 2作业综合设计占30 3常成果占10七举荐教材参考资料
    教材:
    (1)新编 爱锋 孙万蓉 周端等
    (2)杨颂华等 数字电子技术基础 西安 西安电子科技高校出版社 2023 参考书:
    (1)夏宇闻等译 数字规律基础Verilog设计 机械工业出版社
    (2)John FWakerly 数字设计——原理实践〔第三版 影印版〕高等教化出版社
    西安电子科技高校
    卓越工程师教化培育支配〞试点课程教学纲
    次篇:数字规律教学纲
    数字规律教学纲
    课程:执笔: 吕强开课单位:信息工程学院编写日期: 20232课程编码:课程中文名称 数字规律课程英文名称 Digital Logic
    课程类:专业基础课
    开课象: 软件工程专业科 开课学期: 第4学期 学分:3 总学时: 48理课学时:48
    先修课程: 电路基础模拟电子技术
    基教材:现代数字规律 马义忠 常蓬彬 关少颖编著 兰州高校出版社 200
    2参 考 书:
    数字规律计算机设计基础 刘真蔡懿慈毕术
    数字系统规律设计曲兆瑞山东高校出版社
    课程性质目务
    数字规律软件工程专业专业基础课该专业科生必修干课程数字规律课程说明白数字规律电路基概念分析设计方法门电路构成规律电路典方法〞作基技训练提高全加器译码器数选择器计数器寄存器存储 器等较困难规律器件构成更困难规律电路分析设计实力
    二课程基求
    课程留意理实践相结合教学方法接受课堂讲授课堂探讨课学题课等教学形式求学生熟识数制码制规律代数规律代数工具驾驭类组合电路步时序电路异步时序电路基规律单元分析设计解存储器编程规律器件性特点
    三课程基容学时支配
    第章 数制码制〔学时数:2〕
    1. 数制
    十进制二进制八进制十六进制便进制数制
    2. 数制转换
    二进制八进制二进制十六进制二进制十进制
    3. 编码
    原码反码补码BCD码字符代码
    教学求
    驾驭数制数制间转换码制编码
    次章 规律代数基础〔学时数:6〕
    1. 规律代数基概念
    2. 规律代数基定律
    3. 规律函数标准表达式卡诺图
    4. 规律函数化简
    教学求
    驾驭规律代数基定律基运算规律规律函数种表达式利规律代数卡诺图规律函数进行化简
    第三章 TTL集成门电路〔学时数:6〕
    1. TTL非门
    2. TTL集电极开路非门
    3. 三态输出非门
    4. 类型TTL门电路
    教学求
    解TTL门电路电路结构工作原理外部特性驾驭门电路规律功外部特性
    第四章 组合规律电路〔学时数:9〕
    1. 组合规律电路分析方法
    编码器译码器数选择器支配器奇偶检测电路较器加法器
    教学求
    驾驭组合规律电路分析方法
    第五章 集成触发器〔学时数:6〕
    1. 基RS触发器
    2. 电位触发触发器
    3. 触发器
    4. 边触发器
    教学求
    驾驭触发器基类型状态描写触发器简洁应
    第六章 步时序电路〔学时数:6〕
    1. 时序电路机构描述
    2. 步时序电路分析方法
    3. 步时序电路设计方法
    教学求
    驾驭步时序电路分析设计方法
    第七章 异步时序电路〔学时数:6〕
    1. 脉异步时序电路分析
    2. 脉异步时序电路设计
    教学求
    驾驭脉异步时序电路特点分析方法
    第八章 存储器编程规律器件VHDL语言描述数字系统简介〔学时数:7〕
    1. MOS门电路
    2. 存储器
    ROMRAM
    3编程规律器件
    PLDPALGAL
    教学求
    驾驭编程规律器件结构编程程
    3.VHDL语言描述数字系统简介
    四课试验支配
    见试验纲
    五题课外教学求
    题课例题分析适支配开阔思路综合性练探讨学时已包括前述理教学课时支配中次课堂授课相应课外作业容课容定目稳固课堂容加深学东西理解
    六考核方式成果评定
    课外作业常测验占30期末闭卷考试占70
    第三篇:数字规律数字系统教学纲(理)李冶
    数字规律数字系统课程教学纲
    〔Digital logic and digital systems〕
    课程说明
    课程编码:03220230课程总学时〔理总学时实践总学时〕〔7218〕周学时〔理学时实践学时〕×〔41〕学分4开课学期
    31.课程性质:
    专业必修课
    2.适专业学时支配:
    课程电子类专业门技术基础课教 学 容 时 间 安 排 表
    3.课程教学目求:
    目学生驾驭脉电路数字电路工作原理分析方法设计方法培育学生数字技术思维惯学生具确定实践技应实力
    4.门课程课程关系:
    先修课程:模拟电路基础离散数学
    续课程:组成原理编程规律器件VHDL等
    5.举荐教材参考书:
    教材:数字电子技术基础杨颂华 编 西安电子科技高校出版社
    参考书:数字电子技术高祥瑞 编 电子工业出版社
    数字电子技术基础阎石编 高等教化出版社
    6.课程教学方法手段:
    传统教学模式结合媒体课件理讲授结合试验教学
    7.课程考试方法求:
    课程根纲求命题接受闭卷方式统考试重点考核基概念基学问基技
    8.实践教学容支配:
    试验1 常仪器设备运集成门电路测试〔3学时〕
    试验2 组合规律〔1〕〔3学时〕
    试验3 组合规律〔2〕〔3学时〕
    试验3 时序规律〔1〕〔3学时〕
    试验4 时序规律〔2〕〔3学时〕
    试验5EDA试验〔3学时〕
    二教学容纲
    第章数字规律基础〔12学时〕
    1. 教学目求
    驾驭计数制间转化驾驭常编码驾驭规律代数中三种基运算
    娴熟驾驭规律代数中基公式常公式基定理驾驭规律函数公式化化简娴熟
    驾驭规律函数卡诺图化简驾驭具关项规律函数化简
    2. 容
    第节数制码制4学时
    次节规律代数4学时
    第三节规律函数代数化简法2学时
    第四节规律函数卡诺图化简2学时
    次章规律门电路〔6学时〕
    1.教学目求
    解半导体二极三极开关特性驾驭简洁非门电路驾驭TTL
    门电路解类型双极性数字集成电路驾驭CMOS门电路解类型 MOS集
    成电路驾驭TTL电路CMOS电路接口
    2.容
    第节数字集成电路分类1学时
    次节TTL集成规律门2学时
    第三节MOS集成规律门2学时
    第四节集成门电路运中实际问题1学时
    第三章组合规律电路〔8学时〕
    1.教学目求
    娴熟驾驭组合规律电路分析方法设计方法娴熟驾驭常组合规律电路驾驭组合规律电路中竞争-险现象
    2.容
    第节组合规律分析2学时
    次节组合规律设计2学时
    第三节组合规律中竞争险2学时
    第四节常MSI组合规律器件应2学时
    第四章触发器〔8学时〕
    1. 教学目求
    驾驭触发器电路结构动作特点娴熟驾驭触发器规律功描述方法娴熟驾驭
    触发器转换
    2. 容
    第节基RS触发器2学时
    次节时钟限制触发器2学时
    第三节触发器2学时
    第四节类型触发器间转换2学时
    第五章时序规律电路〔14学时〕
    1. 教学目求
    娴熟驾驭时序规律电路分析方法娴熟驾驭常时序规律电路娴熟驾驭时序逻 辑电路设计方法
    2. 容
    第节时序电路概述2学时
    次节步时序规律电路分析设计4学时
    第三节异步时序规律电路分析设计2学时
    第四节常集成时序器件应6学时
    第六章脉信号产生整形〔6学时〕
    1. 教学目求
    驾驭施密特触发器单稳态触发器谐振荡器555定时器应
    2. 容
    第节概述1学时
    次节555定时器应2学时
    第三节集成单稳态触发器2学时
    第四节集成规律门构成脉电路1学时
    第七章半导体存储器〔6学时〕
    1.教学目求
    驾驭读存储器〔ROM〕机存储器〔RAM〕娴熟驾驭存储器容量扩展娴熟掌 握存储器实现组合规律函数
    2.容
    第节读存储器1学时
    次节机存储器1学时
    第三节存储器容量扩展2学时
    第四节存储器实现组合规律函数2学时
    第八章编程规律器件〔6学时〕
    1.教学目求
    驾驭编程阵列规律(PAL)驾驭通阵列规律(GAL)驾驭现场编程门阵列(FPGA)
    2.容
    第节编程规律器件基结构电路表示方法1学时
    次节编程阵列规律〔PAL〕2学时
    第三节编程通阵列规律〔Gal〕2学时
    第四节现场编程门阵列〔FPGA〕1学时
    第九章模数转换数模转换〔6学时〕
    1. 教学目求
    娴熟驾驭DA转换器AD转换器
    2.容
    第节DA转换器4学时
    次节AD转换器2学时
    撰写:李冶审定:院〔系〕领导:
    学院盖章:年月日
    第四篇:数字规律感想
    数字规律试验感想
    学期开设数字规律试验课试验课中学常学中学东西期六周试验画圆满句号回顾六周学感觉特充溢通亲动手进步解数字规律试验基程基方法学奠定良试验基础
    首先学理课言试验理应实践台够书学问转化实际实力提高理学问理解相识驾驭次实力言试验解决实践实力缺乏熬炼机会突通试验提高身实践实力思索实力够通试验解决理学中存学问盲点
    回顾六试验程总说收获干脆收获提高试验中基操作实力EDA仪器解驾驭基操作感更重收获培育试验爱切身体验严谨试验法等重
    说实话做试验前会难做前做试验样操作应会难做完试验两子试验报告写完直做完次试验知道实简洁做真想象中简洁天真常理课学完成试验事实证明错终综合试验中更受益匪浅学程中深深体会学单单理学问学扎实更重实际动手操作实力学完课学问没觉提高试验程中真感觉学会学学问实践中更加理解书理学问典门学科意义途真心盼课程理实践充分结合起实践程中串联书学问理化实践力气
    第五篇:数字规律重点
    1基规律复合规律出输入信号波形画出输出波形者发
    2种常见BCD码8421码2421码5421码转换
    3公式法化简必考道
    4卡诺图化简余项函数化简必考道
    5组合规律电路分析例题41步骤分析
    6组合规律电路设计7译码器74LS138者数选择器实现规律函数P82P85
    8编码器译码器数值较器扩展
    9写出实际功规律函数方三变量数表决器中A推翻权FABC+ABC+ABC三变量样电路等
    10RS触发器D触发器JK触发器真值表特性表特征方程状态转换图11已知触发器电路CP脉作画出触发器波形书例题题例题52
    12时序规律电路分析
    13集成计数器应74LS16174LS16974LS9074LS192组成便计数器接法P131P133
    14存储器分类扩展图716图717图718
    单项选择题〔10题题2分20分〕
    二填空题〔5题空1分10分〕
    三规律函数化简〔2题10分〕
    四分析题〔5题题8分40分〕
    五设计题〔2题20分〕

    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 12 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    数字系统设计-秒表设计

     深 圳 大 学 实 验 报 告 课程名称: 数字系统设计 实...

    5年前   
    1226    0

    数字电路课程设计报告数字电子钟逻辑电路设计

     数字电路课程设计报告设计课题: 数字电子钟逻辑电路设计 班 级:电子科学与技术 姓 名: 学 号: ...

    10个月前   
    245    0

    EDA与数字系统课程设计

     课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级...

    3年前   
    547    0

    数字逻辑期末复习题

    数字逻辑期末复习题 一、选择题(每小题2分,共20分)1. 八进制(273)8中,它的第三位数2 的位权为___B___。A.(128)10 B.(64)10 C.(256)10 ...

    7个月前   
    287    0

    数字逻辑心得体会

    数字逻辑心得体会  数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目...

    11年前   
    689    0

    数字电路与系统设计课后习题答案

    1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=...

    5年前   
    2416    0

    《数字电路与逻辑设计实验》实验报告

    数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。

    4年前   
    1651    1

    数字电子钟逻辑电路设计《EDA技术》课程设计报告

    序号 综合成绩优秀( )良好( )中等( )及格( )不及格( )教师(签名)批改日期《EDA技术》课程设计报告 ...

    3年前   
    527    0

    PARWANCPU状态机设计基于FPGA的数字系统设计

     基于FPGA的数字系统设计 大作业 学号: 姓名: 班级: 题目一:设计Pa...

    10个月前   
    282    0

    2023数字油画课程设计

     拓展性课程“智乐点课坊” 课程类型 课程名称: 数字油画 适用年级: 四、五年级 总 课 时: 16 ...

    1年前   
    420    1

    数字钟课程设计心得

    数字钟课程设计心得  一、设计目的   数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。...

    12年前   
    602    0

    数字水印和数字产品的版权保护

    数字水印和数字产品的版权保护* 国家自然科学基金重点项目(70031020) . 摘要 全球迅猛发展的Internet网络给世界经济带来了新的商机,数字...

    14年前   
    10331    0

    数字水印和数字产品的版权保护

    数字水印和数字产品的版权保护* 国家自然科学基金重点项目(70031020) . 孔祥维(大连理工大学信息学院, 116023)杨德礼 胡祥培(大连理工大学管理学院, 11...

    9年前   
    536    0

    数字电路课程设计电子数字钟+闹铃

    数字电路课程设计院系: 专业: 电子信息工程姓名: 学号: 完成日期:2009 数字钟的设计一、系统功能概述(一...

    3年前   
    474    0

    简易数字频率计(数字电路课程设计)

    数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1HZ—9.999KHZ,闸门时...

    3年前   
    761    0

    智慧树知到《数字电路与逻辑设计》章节测试含答案

    智慧树知到《数字电路与逻辑设计》章节测试答案智慧树知到《数字电路与逻辑设计》章节测试答案第一章1、 表示一个三位十进制数至少需要( )位二进制数。891011答案: 102、 十进制数12...

    2年前   
    6410    0

    数字信号处理课程设计FIR数字滤波器设计及MATLAB的实现

    数 字 信 号 处 理课程设计题目: FIR数字滤波器设计及MATLAB的实现学院: 专业: 班级: 学号: 姓名: 指导教师: 摘要...

    7个月前   
    218    0

    深圳大学实验报告数字逻辑数据选择器

    深 圳 大 学 实 验 报 告 课程名称: 数字逻辑与数字系统 实验项目名称: 数据选择器 ...

    1年前   
    730    0

    数字逻辑心得体会(精选多篇)

    数字逻辑心得体会(精选多篇)第一篇:数字逻辑心得体会数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基...

    8年前   
    636    0

    开题报告-开关磁阻电机数字控制系统设计

    开题报告电气工程及自动化开关磁阻电机数字控制系统设计一、前言开关磁阻电机结构简单、成本低、容错性高、功率密度高能够高速运行,并且它能方便地实现起动和发电双功能,因此,目前越来越广泛的应用于航空...

    4年前   
    687    0

    文档贡献者

    h***5

    贡献于2023-03-10

    下载需要 12 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档