电梯控制器 Verilog语言课程设计


    目录
    摘 2
    正文 3
    1设计目求 3
    2设计原理 3
    21 设计实现原理 3
    22项目分块实现方案 5
    23电梯控制器流程图 6
    3设计容 7
    31基状态设计 7
    32模块设计 8
    33波形仿真 9
    总结致谢 13
    参考文献 14
    附录 15
















    电梯作垂直方交通工具高层建筑公场已成缺设备中国全球电梯市场具强电梯生产力缺乏知识产权核心技术品牌占市场份额少加电梯技术创新发展提升电梯性需引进更技术电梯控制器装置力开发控制器必
    电梯微机化控制种形式:PLC控制单片机控制单板机控制单微机控制微机控制工智控制次课程设计采EDA技术进行操作EDA技术破软件硬件间壁垒计算机软件技术硬件实现设计效率产品性合二代表电子设计技术应技术发展方
    设计采Verilog HDL语言编程技术源程序Altera公司Quartus II软件仿真


    关键字:EDA技术 Verilog HDL语言 Quartus II软件

















    正文
    1设计目求
    1进步巩固理知识培养学理知识实际中应力掌握EDA设计般方法熟悉种EDA软件掌握般EDA系统调试方法利EDA软件设计电子技术综合问题培养Verilog HDL编程书写技术报告力进行工程实际问题研究设计基础
    2设计6层电梯控制器电路数码显示电梯楼层号电梯初始状态第楼层楼层电梯外楼请求开关电梯设客达楼层请求开关电梯处楼层升模式降模式指示电梯2秒升降层达停站请求楼层4秒电梯门开开门指示灯亮开门4秒指示灯灭关门关门时间3秒电梯继续运行通快速关门关门中断信号控制记忆电梯外请求信号电梯处升模式时响应电梯位置高楼请求信号直楼请求执行完毕进入降模式
    2设计原理
    21 设计实现原理
    根系统设计求考虑系统检验性整系统输入输出接口设计图1示:系统工作2Hz基准时钟信号CLKIN楼层升请求键UPIN楼层降请求键DOWNIN楼层选择键入键ST_CH提前关门输入键CLOSE延迟关门输入键DELAY电梯运行开关键RUN_STOP电梯运行停止指示键LAMP电梯运行等时间指示键RUN_WAIT电梯楼层指示数码ST_OUT楼层选择指示数码DIRECT
    DTKZQ
    CLKIN LAMP
    UPIN
    DOWNIN
    ST_CH[1] RU_WAIT
    CLOSE ST_OUT
    DELAY DIRECT
    RUN_STOP




    图 1 电梯控制器DTKZQ输入输出接口图
    输入端口功:
    CLKIN基准时钟信号系统提供2Hz时钟脉升效
    UPIN电梯升请求键户电梯控制器发出升请求高电效
    DOWNIN电梯降请求键户电梯控制器发出降请求高电效
    ST_CH[20]楼层选择键入键结合DIRECT完成楼层选择键入高电效
    CLOSE提前关门输入键实现等时间提前关门操作高电效
    DELAY 延迟关门输入键实现等时间延迟关门操作高电效
    RUN_STOP电梯运行停止开关键实现理员控制电梯运行停止高电效
    输出端口:
    LAMP电梯运行等指示键指示电梯运行等状况高电效
    RUN_WAIT电梯运行等时间指示键指示电梯运行状况等时间长短高电效
    ST_OUT电梯楼层指示数码电梯前楼层数1~6层高电效
    DIRECT楼层选择指示数码指示户选择楼层数高电效

    22项目分块实现方案
    电梯控制状态包括运行状态﹑停止状态等状态中运行状态包含状态状态动作开关门客通键入开关门键呼唤键指定楼层钮等控制电梯行停
    整电梯控制器DTLZQ应包括组成部分:①时序输出楼选计数器②电梯服务请求处理器③电梯升降控制器④升降寄存器⑤电梯次态生成器该电梯控制器设计关键确定升降寄存器置位复位整系统部组成结构图2示
    电梯服务请求处理器
    时序输出楼选计数器(DIR)
    DRECT
    CLKIN
    UOIN
    DOWNIN
    ST_CH




    电梯升降
    控制器
    (LIFTOR)
    DELAY
    电梯次态生成器(LADD)
    升请求寄存器(UR)
    降请求寄存器(DR)
    CLOSE
    RUN_STOP
    LAMP
    RUN_WAIT
    ST_OUT

    图2 电梯控制器部组成结构图
    时钟CLK









    楼层请求
    超 载
    超 载
    关门中断
    提前关门
    清楚报警













    显示
    模块
    请求信号显示
    运行状态显示
    楼层显示
    门控制信号
    超载报警

    图3 电梯控制器系统框图
    23电梯控制器流程图
    初始化
    外部键




    请求信号寄存器

    否请求?
    楼层检测
    电梯运行

    判定电梯运行方


    目标层层否层?
    状态寄存器


    部软件执行机构




    外部硬件执行机构

    图4 总流程图

    否目标层?






    电梯停止


    开门



    关门




    否停止运行?





    停止


    图5 电梯控制流程图

    3设计容
    31基状态设计
    1采信号置处理输入信号方式:
    always @(call_up_1 or call_up_2 or call_up_3 or call_up_4 or call_up_5)
    up_all{1'b0 call_up_5 call_up_4 call_up_3 call_up_2 call_up_1}
    降请求信号实时合(1楼底层降请求考虑通性第1位填零)
    always @(call_down_2 or call_down_3 or call_down_4 or call_down_5 or call_down_6)
    down_all{call_down_6 call_down_5 call_down_4 call_down_3 call_down_2 1'b0}
    停请求信号实时合
    always @(request_1 or request_2 or request_3 or request_4 or request_5 or request_6)
    request_all{request_6 request_5 request_4 request_3 request_2 request_1}

    2通参数定义信号置方法限状态机联系起
    parameter WAIT7'b0000001 UP7'b0000010 DOWN7'b0000100 UPSTOP7'b0001000 DOWNSTOP7'b0010000 OPENDOOR7'b0100000 CLOSEDOOR7'b1000000
    定义楼层符号常量
    parameterFLOOR16'b000001FLOOR26'b000010FLOOR36'b000100 FLOOR46'b001000 FLOOR56'b010000 FLOOR66'b100000
    parameter TRUE1'b1 FALSE1'b0定义门开门关闭符号常量
    parameter OPEN1'b1 CLOSED1'b0定义电梯升降静止符号常量
    parameter UPFLAG2'b01DNFLAG2'b10STATIC2'b00
    3采七状态实现限状态机
    WAIT
    UP
    UPSTOP
    DOWN
    OPENDOOR
    DOWNSTOP
    CLOSEDOOR

    图6状态转换图
    黑线:WAIT TO UP TO UPSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT
    粉红线:WAIT TO DOWN TO DOWNSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT
    32模块设计
    1电梯控制器模块elevator_controller:
    段智电梯控制器三重部分组成
    (a)信号置部分完成5路请求5路请求6路部请求信号置化繁简
    (b)三段式限状态机部分请求情况电梯控制器根电梯前状态前楼层判断电梯步该运作
    (c)计数器部分完成电梯开门关门时间理
    图7电梯控模块
    2分频模块frequence_div:
    段分频器完成50Mhz1分频操作采传统半翻转计数技巧
    图8分频模块
    3数码译码模块
    33波形仿真
    1电梯处初始状态时电梯高层请求时:

    图9
    2电梯停6楼处等状态时1楼2楼时请求时:
    图10
    3电梯停1楼处等状态时6楼5楼时请求时:

    图11
    4电梯停6楼时5楼请求时电梯应该先五楼电梯部请求1楼果电梯降程中2楼请求时电梯应该先相应部请求然相应外部请求:

    图12
    5电梯强制运行钮forbid:

    图13













    总结致谢
    通次课程设计进步熟悉Quartus II软件操作方法硬件实现时载方法运行方法Verilog HDL语言顶设计方法进步认识中许语句新解掌握独立思考解决问题力锻炼
    短暂课程设计结束感谢学生活中予关心帮助
    首先感谢XXXX两位位老师次课程设计设计选题课题调研撰写工作实老师指导完成老师渊博知识严谨治学态度丝苟工作作风厌烦精神影响深受益终生老师表示崇高敬意衷心感谢
    次课程设计期间课题学予热情帮助私指导学表示衷心感谢
    予关心帮助老师学次致衷心感谢参加报告评审答辩老师表示衷心感谢崇高敬意













    参考文献
    [1] 黄仁欣.EDA技术实教程.北京:清华学出版社2006
    [2] 潘松黄继业.EDA技术VHDL.北京:清华学出版社2009
    [3] 江国强编著.EDA技术应(第三版).北京:电子工业出版社2010
    [4] 夏宇闻编著.Verilog HDL数字系统设计教程.北京:北京航空航天学出版社2008
    [5] 周祖成程晓军马卓钊编著.数字电路系统教学实验教程.北京:科学出版社2010
    [6] 周润景苏良碧.基Quartus II 数字系统Verilog HDL设计实例详解.北京:电子工业出版社2010
    [7] (美国)Sanir Palnitkar 译者:夏宇闻 胡燕祥 刁岚松.Verilog HDL数字设计综合(第2版).北京:电子工业出版社2009
    [8] 云创工作室.Verilog HDL程序设计实践.北京:民邮电出版社2009
    [9] 刘福奇刘波.Verilog HDL应程序设计实例精讲.北京:电子工业出版社2009
    [10] 张延伟杨金岩葛爱学.verilog hdl程序设计实例详解.北京:民邮电出版社2008









    附录
    1分频模块
    module frequence_div(cp_50Mcp_1)
    input cp_50M板子提供高时钟
    output cp_1求秒脉
    reg [270] counter_1计数器
    reg cp_1类型声明
    always@(posedge cp_50M)
    begin
    if(counter_128'h17D7840)50M半秒脉翻转
    begin
    cp_1<~cp_1翻转
    counter_1<28'h0000000
    end
    else
    counter_1 end
    endmodule
    2智电梯控制模块
    module elevator_controller(input ports
    cp_50Mclkresetforbid
    call_up_1 call_up_2 call_up_3
    call_up_4 call_up_5
    call_down_2 call_down_3 call_down_4
    call_down_5call_down_6
    request_1 request_2 request_3
    request_4 request_5 request_6
    output ports
    outLiftStateover_alarmcount_outcount
    )
    output ports
    output [60]out输出楼层
    output [60] LiftState输出电梯状态
    output over_alarm出错
    output [60]count_out计数器输出便控制开关门状态显示
    output [20]count计数器
    input ports
    input cp_50M
    input clkresetcall_up_1call_up_2call_up_3call_up_4call_up_5call_down_2call_down_3call_down_4call_down_5call_down_6request_1request_2request_3request_4request_5request_6
    input forbid
    posPosout关系前状态改变pos立作相应改变PosOut前状态变状态瞬间
    PosOut作相应改变WAIT切换第UP时pos立加PosOut等第UP
    切换第二UP时加
    说简单点移位寄存器便电梯状态实时控制
    wire over_alarm
    wire [60]out
    wire [60]count_out
    reg [50]posPosOut
    reg [20] count门开持续5时钟周期count计数
    reg DoorFlag
    reg [10] UpDnFlag
    reg [60] LiftStateNextState分表示电梯前状态状态

    wire real_clk
    up_alldown_allrequest_all分合请求信号请求信号停请求信号
    便判断电梯状态间转移时更简洁
    reg [50] up_alldown_allrequest_all
    定义电梯7种状态符号常量

    parameter WAIT7'b0000001 UP7'b0000010 DOWN7'b0000100 UPSTOP7'b0001000
    DOWNSTOP7'b0010000 OPENDOOR7'b0100000 CLOSEDOOR7'b1000000
    定义楼层符号常量
    parameter FLOOR16'b000001 FLOOR26'b000010 FLOOR36'b000100 FLOOR46'b001000 FLOOR56'b010000 FLOOR66'b100000
    parameter TRUE1'b1 FALSE1'b0
    定义门开门关闭符号常量
    parameter OPEN1'b1 CLOSED1'b0
    定义电梯升降静止符号常量
    parameter UPFLAG2'b01DNFLAG2'b10STATIC2'b00

    assign real_clk(clk&(~forbid))
    采屏蔽时钟方法实现电梯强制禁止运行方法然违反步电路
    没时钟门控求负面影响接受

    升请求信号实时合(6楼顶层升请求考虑通性第4位填零)
    always @(call_up_1 or call_up_2 or call_up_3 or call_up_4 or call_up_5)
    up_all{1'b0 call_up_5 call_up_4 call_up_3 call_up_2 call_up_1}
    降请求信号实时合(1楼底层降请求考虑通性第1位填零)
    always @(call_down_2 or call_down_3 or call_down_4 or call_down_5 or call_down_6)
    down_all{call_down_6 call_down_5 call_down_4 call_down_3 call_down_2 1'b0}
    停请求信号实时合
    always @(request_1 or request_2 or request_3 or request_4 or request_5 or request_6)
    request_all{request_6 request_5 request_4 request_3 request_2 request_1}

    always @(posedge real_clk or posedge reset)
    if(reset)
    count<0
    else if((NextStateOPENDOOR)&&(count<5))or else if(NextStateOPENDOOR)
    count else
    count<0清零备次计数

    display which floor the elevator is
    display_decode h1(cp_50MPosOutoutover_alarm)
    display_decode_count h2(cp_50Mcountcount_out)


    finite state machine in the style of three segments 三段式
    1st StateShift always blocksequential state transition限状态机第段
    always @(posedge real_clk or posedge reset)
    if(reset)
    begin
    LiftState end
    else
    LiftState
    2nd StateShift always blockcombinational condition judgement限状态机第二段
    always @(LiftState or up_all or down_all or request_all or pos or count or UpDnFlag)
    case(LiftState)
    WAIT
    begin
    if(request_all>0)停请求否
    begin
    if((request_all&pos)>0)停请求中前楼层停请求否
    NextStateOPENDOOR前楼层请求状态转开门
    else if(request_all>pos)前楼层停请求否(优先请求)
    NextStateUP状态转升
    else
    NextStateDOWN前楼层停请求状态转降
    end

    else if((up_all&pos)||(down_all&pos))请求中前楼层请求否
    begin
    NextStateOPENDOOR
    end
    else if((up_all>pos)||(down_all>pos))请求中前楼层请求否
    NextStateUP
    else if(up_all||down_all)请求中前楼层请求否
    NextStateDOWN
    else
    NextStateWAIT请求继续处WAIT模式

    end
    UP
    begin
    if((request_all&pos)||(up_all&pos))停升请求中前楼层请求否
    NextStateUPSTOP状态转UPSTOP(停1s开门UPSTOP1s渡期)
    else if((request_all>pos)||(up_all>pos))停升请求中前楼层请求否
    NextStateUP
    else if(down_all>0)降请求否
    begin
    if((down_all>pos)&&((down_all^pos)>pos))降请求中前楼层请求否
    NextStateUP
    else if((down_all&pos)||(pos NextStateUPSTOP
    else if((down_all&pos)&&(posFLOOR6))事实满足第条件话电梯根会达第四层
    NextStateDOWNSTOP
    else降请求中前楼层请求
    NextStateDOWN
    end
    else if(request_all||up_all)前楼层停升请求否
    NextStateDOWN
    else
    NextStateWAIT请求转WAIT模式
    end

    DOWN状态转移请参见UP状态转移
    DOWN
    begin
    if((request_all&pos)||(down_all&pos))
    NextStateDOWNSTOP
    else if(((request_all&FLOOR1) NextStateDOWN
    else if(((down_all&FLOOR1) NextStateDOWN

    else if(up_all>0)
    begin
    if(((up_all&FLOOR1) NextStateDOWN
    else if((up_all&pos)&&(pos>FLOOR1))
    NextStateDOWNSTOP
    else if((up_all&pos)&&(posFLOOR1))
    NextStateUPSTOP
    else
    NextStateUP
    end
    else if(request_all||down_all)
    NextStateUP
    else
    NextStateWAIT
    end

    UPSTOP
    begin
    NextStateOPENDOOR停1时钟周期开门
    end
    DOWNSTOP
    begin
    NextStateOPENDOOR停1时钟周期开门
    end
    OPENDOOR
    begin
    if(count<5)开门足5周期继续转移开门状态
    NextStateOPENDOOR
    else
    NextStateCLOSEDOOR开门5周期关门
    end
    CLOSEDOOR
    begin
    if(UpDnFlagUPFLAG)开门关门前电梯处升状态
    begin
    if((request_all&pos)||(up_all&pos))升停请求中前楼层请求否关门瞬间新请求
    NextStateOPENDOOR
    else if((request_all>pos)||(up_all>pos))升停请求中前楼层请求否
    NextStateUP
    else if(down_all>0)降请求否
    begin
    if((down_all>pos)&&((down_all^pos)>pos))
    NextStateUP前楼层降请求状态转移升
    else if((down_all&pos)>0)前楼层降请求信号更层降请求
    NextStateOPENDOOR
    else低前层降请求
    NextStateDOWN
    end
    else if(request_all||up_all)升停请求中前层请求前层请求
    NextStateDOWN
    else
    NextStateWAIT请求转WAIT模式
    end

    请参考UpDnFlagUPFLAG注释
    else if(UpDnFlagDNFLAG)
    begin
    if((request_all&pos)||(down_all&pos))
    NextStateOPENDOOR
    else if(((request_all&FLOOR1) NextStateDOWN
    else if(((down_all&FLOOR1) NextStateDOWN
    else if(up_all>0)
    begin
    if(((up_all&FLOOR1) NextStateDOWN
    else if((up_all&pos)>0)
    NextStateOPENDOOR
    else
    NextStateUP
    end
    else if(request_all||down_all)
    NextStateUP
    else
    NextStateWAIT
    end

    请参考WAIT模式注释
    else
    begin
    if(request_all>0)
    begin
    if((request_all&pos)>0)
    NextStateOPENDOOR
    else if(request_all>pos)
    NextStateUP
    else
    NextStateDOWN
    end
    else if((up_all&pos)||(down_all&pos))
    begin
    NextStateOPENDOOR
    end
    else if((up_all>pos)||(down_all>pos))
    NextStateUP
    else if(up_all||down_all)
    NextStateDOWN
    else
    begin
    NextStateWAIT
    end

    end

    end
    default
    NextStateWAIT

    endcase

    3rd StateShift always blockthe sequential FSM output限状态机第三段
    always @(posedge real_clk or posedge reset)output
    if(reset)
    复位初始化前楼层第层门关闭电梯静止
    begin
    pos DoorFlag UpDnFlag end
    else
    begin
    PosOut case(NextState)
    WAIT
    状态WAIT时楼层变门关闭电梯静止模式情况请家推导
    begin
    pos DoorFlag UpDnFlag end
    UP
    begin
    pos DoorFlag UpDnFlag end
    DOWN
    begin
    pos>1
    DoorFlag UpDnFlag end
    UPSTOP
    begin
    pos DoorFlag UpDnFlag end
    DOWNSTOP
    begin
    pos DoorFlag UpDnFlag end
    OPENDOOR
    begin
    pos DoorFlag UpDnFlag end
    CLOSEDOOR
    begin
    pos DoorFlag UpDnFlag end
    default
    默认情况
    begin
    pos DoorFlag UpDnFlag end
    endcase
    end
    endmodule

    3数码译码模块
    module display_decode(cp_50Minoutover_alarm)
    input [50]in
    input cp_50M

    output [60]out
    output over_alarm
    reg [60]out
    reg over_alarm
    always@(posedge cp_50M)
    begin
    case(in)
    6'b000000out<7'b100_00000
    6'b000001out<7'b111_10011
    6'b000010out<7'b010_01002
    6'b000100out<7'b011_00003
    6'b001000out<7'b001_10014
    6'b010000out<7'b001_00105
    6'b100000out<7'b000_00106
    defaultover_alarm<1
    endcase
    end
    endmodule

    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 3 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    C语言课程设计学生考勤系统

    设计任务:C语言课程设计任务书题目:学生考勤系统设计功能:学生考勤系统应包含各班学生的全部信息。每个学生是一条记录,包括姓名、性别、学号、出勤情况等。本系统可模拟考勤过程,记录考勤结果,并能够...

    2年前   
    521    0

    C++语言课程设计一迷你高尔夫

    C++语言课程设计一迷你高尔夫一、实验内容 玩家通过按下键盘上的上下左右方向键控制球的移动,使其最终到达出口则游戏通关。 要求如下:1、 游戏分成3关,第一关、第二关、第三关界面图如下:第一关...

    3年前   
    607    0

    2021年c语言课程设计小结

     2021年c语言课程设计小结撰写人:___________日 期:___________2021年c语言课程设计小结关键词:图书管理系统关系模型功能模块随着社会的进步与发展,资源高效利用提...

    3年前   
    597    0

    c语言课程设计总结5篇

    c语言课程设计总结5篇c语言课程设计总结(一):  c语言课程设计总结心得  经过一个学期的学习,我对C语言有了必须的了解。C语言是学习计算机科学的基础,作为一名计算机专业学生,掌握C语言更是...

    3年前   
    1290    0

    C语言课程设计通讯录管理系统

     课程设计报告书 课程名称: C语言课程设计 班 级: 学 号: ...

    5年前   
    2398    0

    c语言课程设计贪吃蛇

    XX 大 学 C语言程序设计 课程设计(论文)题目: 贪吃蛇 院(系): 软件学院 专业班级: ...

    3年前   
    425    0

    数电课程设计报告 音乐彩灯控制器

     电子技术课程设计 题目名称: 音乐彩灯控制器 1. 设计任务和要求 (1) ...

    5年前   
    1185    0

    单片机课程设计作息时间控制器的设计报告

    XX大学单片机课程设计报告作息时间控制器设计姓 名: 学 号: 专业班级: 自动化班 指...

    3年前   
    561    0

    电热水器恒温控制器的设计课程设计

    XX 大 学 微型计算机控制技术 课程设计(论文)题目: 电热水器恒温控制器的设计 院(系): 电气工程学院 专业班级: 自动化 学 号: ...

    3年前   
    517    0

    基于FPGA的多路彩灯控制器的设计课程设计

     FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目...

    3年前   
    526    0

    电梯控制电路设计课程设计

    电 子 技 术课 程 设 计成绩评定表设计课题 : 电梯控制电路设计 学院名称 : 专...

    3年前   
    505    0

    基于单片机的温湿度控制器设计课程设计报告

    《智能仪器仪表设计与调试》课程设计报告 学 院: 电气与信息工程学院 专业班级: 测控10-01 学生姓名: 学 ...

    1年前   
    305    0

    电子技术课程设计-可编程时钟控制器

    电子技术课程设计可编程时钟控制器目录设计功能要求 3方案分析选择与系统框图 4模块功能分析 6仿真\下载结果 9主要经验 10操作指南 11相关代码 12设计功能要求一.设计任务数字钟不仅可以...

    3年前   
    577    0

    交通信号灯控制器设计EDA课程设计

    信息科学技术学院《EDA技术课程设计报告》专业班级:2008级通信工程姓 名: 学 号:指导老师: 目 录课程设计的要求及目的……………………………………………2前言…………………………...

    11个月前   
    308    0

    电子技术课程设计智能洗衣机控制器

    电子技术基础课程设计——智能洗衣机控制器目录一、课程设计的目的二、课程设计的课题与要求 1. 课程设计的课题 2. 课程设计的要求三、设计思路与过程 ...

    3年前   
    536    0

    C语言课程设计学生通讯录管理系统设计

    C语言课程设计学生通讯录管理系统设计一、题目内容的描述学生通讯录管理系统设计设计一个学生通信录,学生通迅录数据信息构成内容可自行设计(如:姓名、家庭地址、邮政编码、电话号码等等),通信录数据类...

    4年前   
    720    0

    可控流水灯设计(单片机课程设计报告)汇编语言

    单片机课程设计报告题 目: 可控流水灯 摘要单片机是一种集成电路芯片,采用超大规模技术,把具有数据处理能力的微处理器、随机存取数据存储器、只读程序存储器、输入输出电路、可能还包括...

    1年前   
    446    0

    数据结构课程设计运动会分数统计(C语言版)

    数据结构课程设计运动会分数统计(C语言版)目 录第一章 绪 论 1 1.1 运动会分数统计系统的背景 1 1.2 运动会分数统计系统的任务和目标 1第二章 运动会分数统...

    3年前   
    637    0

    C语言课程设计学校运动会管理系统

    C语言课程设计 学 校 运 动 会 管理系统代码有误运行不了 专业:网络工程 班级: 报告人: ...

    1年前   
    231    0

    PLC课程设计(三层电梯控制系统)毕业设计

     XX大学 《PLC课程设计》报告 三层电梯控制系统的模拟 系 别 电气工程系 班 级 本自动化 学 ...

    5年前   
    1345    0

    文档贡献者

    文***品

    贡献于2023-01-30

    下载需要 3 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档