数字系统设计大作业4×4阵列键盘键信号检测电路设计


    2014 ~ 2015学年 第 1 学期


    数字系统设计
    作业


    题 目: 4×4阵列键盘键信号检测电路设计
    专 业: 电子信息工程
    班 级:
    姓 名:
    指导教师:




    电气工程学院

    2015 年 月


    类文明已进入高度发达信息化社会信息化社会发展离开电子信息产品开发技术产品品质提高进步电子信息产品着科学技术进步电子器件设计方法更新换代速度日新月异实现种进步原电子设计技术电子制造技术发展核心电子设计动化(EDAElectronic Design Automation)技术EDA技术发展推广应极推动电子信息产业发展保证电子系统设计速度质量适应第时间推出产品设计求EDA技术正逐渐成缺少项先进技术重工具目前国电子技术教学产业界技术推广中已形成EDA热完全说掌握EDA技术电子信息类专业学生工程技术员必备基力技
    设计利VHDL硬件描述语言EDA台xilinxise71i设计4×4阵列键盘扫描电路行扫描信号输入阵列键盘读取列信号值输出键编码判断出键位置Modelsim软件进行模拟仿真载EDA实验箱进行硬件验证

    关键词:EDA VHDL语言 4×4阵列键盘扫描











    目 录


    数字系统设计 1
    数字系统设计 2
    摘 3
    关键词:EDA VHDL语言 4×4阵列键盘扫描 3
    1实验目 5
    2实验求 5
    3 实验原理 5
    4 总体框图 6
    411方案 6
    412方案二 6
    42设计思路 7
    5功模块介绍 9
    51键盘消抖模块 9
    52键盘模块 9
    53VHDL部分程序 9
    6实验结果 11
    61综合电路图 11
    62时序仿真 12














    1实验目
    (1)通常见基组合逻辑电路设计熟悉EDA设计流程
    (2)熟悉文输入仿真步骤
    (3)掌握VHDL设计实体基结构文字规
    (4)理解硬件描述语言具体电路映射关系
    (5)VHDL设计识4×4阵列键盘实电路

    2实验求
    求通查阅相关书籍资料熟悉初步掌握VHDL语言语法功深入分析4×4键盘扫描电路原理然运VHDL硬件描述语言图形设计综合方法实现4×4键盘扫描电路程序设计通运xilinxise71i软件设置输入端口实现模拟仿真仿真波形图记录结果

    3 实验原理
    4×4阵列键盘十分常图键盘电路原理图10芯接口通常类键识法两种:扫描法反转法扫描法某键辨读取键信息A口扫描输入组分含04位数111011011011等键B口定会输出应数时结合AB口数判断出键位置键S0输入A1110时B0111{BA}0111_1110成S0代码
    反转法中AB口必须接双口首先A口设定输出B口设定输入然A口输出全0接着读B口读入数中位0表明该位应列线某键存储值(否循环检测)然反A口设定输入B口设定输出B口输出全0读A口中必位04位数刚B口读数组合键特征码通查表键码

    4×4键电路

    4 总体框图
    411方案时钟脉



    译码输出
    键盘输入
    键盘扫描



    图方案设计框图键盘键键盘扫描电路直接输出显示方案优点简单易懂缺点法消键时弹起时抖动扫描电路干扰
    412方案二
    时钟脉



    键盘输入
    键盘消抖
    输出低电
    LED灯显示
    键盘扫描





    图方案二设计框图键盘键先消抖动电路进入键盘扫描电路样CPU处理次键操作避免键时弹起时抖动扫描电路干扰外LED灯显示更直观实验结果
    42设计思路
    设计采方案二三功模块组成:键盘消抖模块键盘扫描模块译码显示模块
    (1)键盘消抖模块
    普通键接触式键闭合释放时接触面会产生短暂抖动抖动时间般会持续510ms然抖动时间短FPGA工作50M高频率器件说捕捉CPU次键操作处理次软件中必须加入消抖动处理
    模块四升触发型D触发器四输入门构成四D触发器步时序方式连接:时钟输入端连起工作时四D触发器clk时钟信号步输入信号移位串行方式前传递
    (2)键盘扫描模块
    阵列式键盘做行列式键盘带IO口线组成行列结构键设置行列交点模块键盘表1示4×4阵列键盘键设置行列交叉点行列线分接键开关两端键否键行电列电决定时低电0没时高电1
    表14×4阵列键盘
    1
    2
    3
    A
    4
    5
    6
    B
    7
    8
    9
    C
    *
    0
    #
    D
    模块设计采扫描方法实现键盘键识进行行扫描时扫描信号行线进入键盘1110110110110111序次扫描行读取列线电信号判断键果列线全高电代表该列没键果列线输入低电低电信号行出现低电列交点处键假设行线a列线b长度4输入行扫描值a列读取值b置形成组合值作键输入值键进行编码(表2键盘参数示)送入输出变量r(定义4位)判断出键位置
    表2键盘参数
    行扫描a
    列读取b
    键盘键
    键编码
    1110
    0111
    1
    0000
    1101
    0111
    4
    0001
    1011
    0111
    7
    0010
    0111
    0111
    *
    0011
    1110
    1011
    2
    0100
    1101
    1011
    5
    0101
    1011
    1011
    8
    0110
    0111
    1011
    0
    0111
    1110
    1101
    3
    1000
    1101
    1101
    6
    1001
    1011
    1101
    9
    1010
    0111
    1101
    #
    1011
    1110
    1110
    A
    1100
    1101
    1110
    B
    1101
    1011
    1110
    C
    1110
    0111
    1110
    D
    1111

    3)译码显示模块
    该模块包括输出低电LED灯显示实验箱LED灯低电效需输出变量r进行逻辑非变换该部分采4非门分输入4位扫描模块输出变量取反LED灯亮灭表示输出变量rr高电时灯亮r低电时灯灭样更清晰显示出实验结果目然

    5功模块介绍
    51键盘消抖模块

    图51消抖动模块
    图4消抖模块逻辑符号中D(3:0)输入键时带抖动信号消抖Q(3:0)输出消抖动信号CPU次键处理次信号
    52键盘模块

    图52键盘模块
    图52扫描模块逻辑符号中a[3:0]4位行扫描信号b[3:0]4位列读取信号r[3:0]4位键编码输出

    53VHDL部分程序
    library ieee
    use ieeestd_logic_arithall
    use ieeestd_logic_unsignedall
    use ieeestd_logic_1164all
    entity k44 is
    port (clk in std_logic1khz
    ba in std_logic_vector(3 downto 0)
    r out std_logic_vector(3 downto 0))
    end
    architecture one of k44 is
    signal cstd_logic_vector(1 downto 0)
    signal bastd_logic_vector(7 downto 0)
    signal estd_logic_vector(3 downto 0)
    begin
    baprocess(aclk)
    begin
    if rising_edge(clk) then ccase c is
    when 00>e<0111when 01>e<1011
    when 10>e<1101when 11>e<1110
    when others>null
    end case
    case ba is
    when 01111110>r<0000when 01111101>r<0001
    when 01111011>r<0010when 01110111>r<0011
    when 10111110>r<0100when 10111101>r<0101
    when 10111011>r<0110when 10110111>r<0111
    when 11011110>r<1000when 11011101>r<1001
    when 11011011>r<1010when 11010111>r<1011
    when 11101110>r<1100when 11101101>r<1101
    when 11101011>r<1110when 11100111>r<1111
    when others>null
    end case
    end if
    end process
    end

    6实验结果
    61综合电路图


    图61(a)电路原理图

    图61(b)RTL电路

    62时序仿真

    源程序进行操作生成tbw文件继续tbw进行操作点击Simulate Behavioral Model出现时序图输入输出进行赋值:

    图62(a)时序仿真初始图

    图62(b)时序仿真结果图
    具体分析:
    图62(b)示
    (1)a0111b1110时应输出s0000时应键盘S0输出信息1
    (2)a0111b1101时应输出s0001时应键盘S2输出信息2
    (3)a1101b1001时应输出s1001时应键盘SA输出信息9
    综分析实验仿真结果显然满足课题求该实验方案成立
    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 2 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    基于arm键盘课程设计

    基ARM课程设计 专 业:自动化学 号:姓 名: 在ARM嵌入式应用中,人机交互对话最通用的方法就是通过键盘和LCD显示进行的,本设计是通过键盘向系统发送各种指令或置入必要的数据信息。键盘模块...

    1年前   
    456    0

    信号放大滤波电路设计课程设计

    XX大学课 程 设 计 说 明 书   学生姓名:学 号: 学 院: 电子与计算机科学技术学院专 业:电子科学与技术题 目:信号放大滤波电路设计   指导教师:职称: 副教授 ...

    11个月前   
    250    0

    电脑键盘快捷键大全

    CTRL组合键 Ctrl + A 全选 Ctrl + B 整理 收藏夹 Ctrl + C 复制 Ctrl + D 删除/添加收藏 (在Word中是修改选定字符格式,在IE及傲游中是保存...

    11年前   
    613    0

    键盘使用教学课件

    第11课  轻松“驾驭”键盘 一、教材分析:                                                            2010年   月  日 ...

    11年前   
    10214    0

    《认识键盘》教学教案

    培养学生养成良好的计算机使用习惯、正确的键盘操作姿势、正确的输入指法,以保证学生的眼睛健康和提高输入的速度。

    5年前   
    2248    0

    基于FPGA的键盘扫描程序的设计毕业设计

    X X 学 院 CHANGSHA UNIVERSITY本科生毕业设计设计(论文)题目: 基于FPGA的键盘扫描程序的设计 ...

    4年前   
    850    0

    非编码键盘的扫描程序设计课程设计

    摘 要 11设计方案 21.1 设计任务 21.2 设计方案 22系统硬件设计 32.1最小应用系统 32.2 8155扩展电路 42.3 矩阵键盘接口电路 62.4 LCD1602...

    2年前   
    330    0

    数字电路课程设计报告数字电子钟逻辑电路设计

     数字电路课程设计报告设计课题: 数字电子钟逻辑电路设计 班 级:电子科学与技术 姓 名: 学 号: ...

    10个月前   
    245    0

    数字电子钟电路设计电子课程设计报告

     (电子技术课程) 设计说明书 数字电子钟电路设计 起止日期: 2016 年 11月14日 至 2016年 11月 18 日 学...

    5年前   
    1482    0

    数字系统设计-秒表设计

     深 圳 大 学 实 验 报 告 课程名称: 数字系统设计 实...

    5年前   
    1226    0

    数字信号处理教案

    教 案 2013~2014学年第1学期院 (部)   电子信息工程学院  教 研 室   通信工程  课 程 名 称 ...

    1年前   
    265    0

    电脑键盘各键功能及各组合键功能常识

    键盘上不同的组合按键有着不同的功效,你知道几种?CTRL组合键Ctrl + A 全选Ctrl + B 整理 收藏夹Ctrl + C 复制Ctrl + D 删除/添加收藏 (在Word中是修改选...

    4年前   
    773    0

    信号与系统设计性设计性实验报告

    《信号与系统实验》综合设计性实验报告册实验项目:选频网络的设计及应用研究 学院:物理与电子工程学院 年级专业班: 电子 姓名: 学号: 成绩: 实验地点:电子楼指导老师:...

    1年前   
    295    0

    信息技术课 认识键盘 教学设计

    《认识键盘》教学设计课题名称《认识键盘》科  目信息技术年级三年级学习时间1课时学情分析学生借助生活经验对计算机有粗略的认识,知道键盘、鼠标这两个最常用的输入设备,部分学生能对窗口进行操作,...

    4年前   
    707    0

    数字信号处理课程设计FIR数字滤波器设计及MATLAB的实现

    数 字 信 号 处 理课程设计题目: FIR数字滤波器设计及MATLAB的实现学院: 专业: 班级: 学号: 姓名: 指导教师: 摘要...

    7个月前   
    218    0

    北航电子电路设计数字部分实验报告

    电子电路设计数字部分实验报告学 院: 姓  名:实验一 简单组合逻辑设计实验内容描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结...

    3年前   
    645    0

    六位数字钟电路设计

    题目_______六位数字钟电路设计________ 班级_________10电子信息工程__________ 学号____________________ 姓名___________...

    11年前   
    11310    0

    数字信号的处理与滤波设计课程设计

    — — 装 订 线 — —数字信号的处理与滤波 摘要: 数字信号处理是利用计算机或专用处理设备,以数值计算的方法,对信号进行采集、滤波、增强、压缩、估值和识别等加工处理,...

    3年前   
    465    0

    EDA与数字系统课程设计

     课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级...

    3年前   
    547    0

    数字信号处理复习总结

    信号是信息的载体,以某种函数的形式传递信息。这个函数可以是时间域、频率域或其它域,但最基础的域是时域。

    3年前   
    770    0

    文档贡献者

    文***品

    贡献于2021-04-19

    下载需要 2 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档