液晶显示屏LCD显示接口设计课程设计


    


    SOPCEDA综合课程设计报告


    设计题目: 液晶显示屏LCD显示接口设计
    设 计 者:
    学 号:
    班 级: 测控
    指导老师:
    完成时间:


    设计报告

    综合测试



    总评
    格式
    (10)

    (40)
    图表
    (10)
    答辩
    (20)

    (20)














    目 录
    目 录 1
    第1章 预知识 2
    11液晶显示屏原理 2
    12课程设计题目:液晶显示屏LCD显示接口设计 2
    13设计求 3
    第2章 设计容提说明 4
    21 元件原理图 4
    第3章 系统硬件设计 10
    第4章 设计程 13
    第5章 实总结 22
    参考文献 23
    致谢 24





    第1章 预知识
    11 液晶显示屏原理
    111 液晶显示屏LCD原理设置
    112 液晶显示模块设计方法
    113 查询关课程设计汉字国标码
    114 关FPGA知识
    115 端口设计方法
    116 熟悉GW48系列SOPCEDA实验开发系统现代DSP实验开发系统方

    12 课程设计题目:液晶显示屏LCD显示接口设计
    121 课程设计目基求

    液晶显示屏已广泛应日常生活中种领域中起越越重
    位置掌握控制液晶显示屏非常重技进行课程设计加
    强实践提高动手力重环节通课程设计时软件编程排错调
    试相关仪器设备技术等方面全面提高掌握液晶显示屏实现
    相关方法实际工作定基础
    课程设计SED1520控制器基础基求:
    1掌握SED1520控制器基结构
    2掌握液晶显示屏工作原理方法
    3掌握VHDL语言编写程序
    4掌握Quartus II方法
    5掌握GW48系列SOPCEDA实验开发系统:
    a:闲置GW48系统时必须关闭电源拔电源插头
    b:实验中选中某种模式右侧复位键系统进入
    该结构模式工作
    c:换目标芯片时特注意插反插错带电插拔确信插
    开电源接口带电插拔请特注意
    意插拔适配板实验系统芯片
    d:行口工作模式设置EPP模式
    e 跳线座SPS 默认短路(PIO48)右侧开关默认拨TO MCU
    f GW48PK2系统左角拨码开关第4档DS8拨(8数
    码显示)外余皆默认
    13设计求
    FPGA设计液晶显示屏LCD显示控制器够显示文字
    数字图形(根需选择LCD屏)少需显示江西理工学应科学
    学院课程设计字样外需显示班级姓名日期功行增加
    (型号GW48PK2)






























    第2章 设计容提说明
    21 元件原理图

    图1 载编程接口电路图

    图2 液晶显示屏



    211 模块引脚说明

    表1 模块引脚
    逻辑工作电压(VDD):33~55V
    电源(GND):0V
    工作温度(Ta):0~+50℃(常温) 20~70℃(宽温)









    212 接口时序
    模块行串行两种连接方法(时序):
    a) 8位行连接时序图

    图3 MPU写资料模块

    图4 MPU模块读出资料
    a) 串行连接时序图

    图5 串行时序图

    表2 时钟周期表
    串行数传送分三字节完成:
    第字节:串口控制——格式 11111ABC
    A数传送方控制:H表示数LCDMCUL表示数MCULCD
    B数类型选择: H表示数室显示数L表示数室控制指令
    C固定0
    第二字节:(行)8位数高4位——格式DDDD0000
    第三字节:(行)8位数低4位——格式DDDD0000
    串行接口时序参数:(测试条件: T25℃ VDD45V)










    213 户指令集
    指令表1:(RE0:基指令集)


    表3 基指令表






    指令表2:(RE1:扩充指令集)

    表4 扩充指令表

    214 FPGALCD连接方式

    FPGALCD连接方式:(仅PK2型含)实验电路结构图COM知默认情况
    FPGA通89C51单片机控制LCD液晶显示FPGA中Nios嵌入式系统
    FPGA直接控制LCD显示方法拔单片机(右侧)连线座JP22JP21(LCD
    显示器引脚信号)信号分座JP19JP20(FPGA引脚信号)相连接


    图6 实验电路结构图COM







    第3章 系统硬件设计

    方案采FPGAAlter公司ACEX1K30芯片提供系统时钟读写控制
    ACEX系列FPGA逻辑数组块LAB(Logic array block)嵌入式数组块EAB(embedded
    arr ay block)快速互联IO单元构成逻辑数组块包含8逻辑单元LE(logic
    element)局部互联[1]逻辑单元4输入查找表(LUT)
    编程触发器快速进位链级连链组成LABEAB通快速通道互相连
    接EABACEX系列器件结构设计重部件输入埠输出埠带触
    发器种灵活RAM块功实现规模太FIFOROMRAM双埠
    RAM等液晶显示接口电路中EAB宏功模块实现片ROM通调FPGA
    EAB资源实现汉字显示字符存储根控制信号产生址值ROM中
    读取字符值然送LCD显示器进行显示图形点阵液晶块置SED1520
    控制器电路特性实际SED1520电路特性
    SED1520特性:
    (1)具液晶显示行驱动器具16路行驱动输出级联实现32行驱动
    (2)具液晶显示列驱动器61路列驱动输出(3)置时序发生器占空设
    置116132两种
    (4)藏显示存显示存数直接显示1显示0显示
    (5)接口总线时序适配8080系列M6800系列直接计算机接口
    (6)操作简单13条控制指令
    (7)采CMOS工艺电压低2470V时正常工作功耗仅30μW
    设计字符液晶模块CM12232两块SED1520级连驱动中工作
    工作方式工作方式工作方式SED1520负责半屏16行驱动左
    半屏61列驱动工作方式SED1520负责半屏16行驱动右半屏61列驱
    动信号E1E2区分具体控制片SED1520系统硬件连接图图
    1示图1见该系统硬件部分连接十分简单中FPGA部分没画出液晶
    FPGA接口直接网表形式出直接FPGA普通IO引脚相连
    系统软件接口实现具体实现重点存放字符ROM块中读出数
    液晶时序正确写入介绍具体实现方法前首先熟悉SED1520指令
    SED1520控制指令表
                         

    第四章 设计程

    41 初始化部分状态机设计
    根字符模块初始化流程图:

    图7 LCD初始化流程图
    42 时钟模块设计
    FPGA开发板带晶振频率50MHZ需时钟频率需139K
    需分频器进行分频里采简单计数器进行分频通分频器外部输入32 MHZ信号分成频率153600HZ信号
    分频时钟信号需时控制LCD模块FPGA模块需编写程序两者间步
    LIBRARY IEEE
    USE IEEESTD_LOGIC_1164ALL
    USE IEEESTD_LOGIC_ARITHALL
    USE IEEESTD_LOGIC_UNSIGNEDALL
    ENTITY baud IS
    port(clkresetbin std_logic
    bclkout std_logic)
    end baud
    architecture Behavioral of baud is
    begin
    process(clkresetb)
    variable cntinteger
    begin
    if resetb'1' then
    cnt0bclk<'0'
    elsif rising_edge(clk)then
    if cnt>208 then cnt0bclk<'1'
    else cntcnt+1bclk<'0'
    end if
    end if
    end process
    end behavioral


    图8 时钟模块


    图9 系统仿真图形

    43 中文字符部分数模块
    中文部分VHDL中法识中文时需参中文字符表需字符应数输入RAM然通英文模块重复符号实现调 江中文字符应国标码bdad函数部分应:
    constant data_buf data_buffer (xbdxad)
    程序驱动液晶显示江西理工学应科学学院课程设计 班级姓名
    日期
    Library IEEE
    Use IEEESTD_LOGIC_1164ALL
    Use IEEESTD_LOGIC_ARITHALL
    Use IEEESTD_LOGIC_UNSIGNEDALL
    entity LCD is
    generic(divide_to_100k integer1000)
    Port(clk rstin STD_LOGIC
    Rw rs e lcd_rst out STD_LOGIC
    Lcd_dataout STD_LOGIC_VECTOR(7 downto 0))
    end LCD
    architecture Behavioral of LCD is
    signal clk_100kstd_logic
    type state is(s0s1s2s3s4)
    signal current_s state
    type data_buffer is array (0 to 43) of std_logic_vector ( 7 downto 0 )
    constant data_buf data_buffer (xbdxadxcexf7
    xc0xedxb9xa4
    xb4xf3xd1xa7
    xd3xa6xd3xc3
    xbfxc6xd1xa7
    xd1xa7xd4xba
    xbfxcexb3xcc
    xc9xe8xbcxc6
    xb0xe0xbcxb6
    xd0xd5xc3xfb
    xc8xd5xc6xda)
    begin
    process(clk)
    variable cntinteger range 0 to divide_to_100k
    begin
    if rising_edge(clk)then cntcnt+1
    if cntdivide_to_100k then cnt0
    end if
    if cntelse clk_100k < '1'
    end if
    end if
    end process
    process(clk_100k)
    variable cnt1integer range 0 to 500
    variable cnt1_1integer range 0 to 100
    variable code_cntinteger range 0 to 13
    variable data_cntinteger range 0 to 48
    begin
    if rising_edge(clk_100k)then
    if rst '1' then current_s < s0 cnt1 0 cnt1_1 0
    code_cnt 0 data_cnt 0 lcd_rst < '0'
    else case current_s is
    when s0> rw< '1'rs < '1'e < '1'cnt1cnt1+1
    if cnt1 < 250 then lcd_rst < '0'
    elsif cnt1 < 500 then lcd_rst < '1'
    elsif cnt1500 then
    lcd_rst < '1'cnt1 0current_s < s1
    end if
    when s1>cnt1_1cnt1_1+1
    if cnt1_1 < 1*3 then e < '1'rs < '0'rw < '0'
    elsif cnt1_1<2*3 then lcd_dataelsif cnt1_1<10*3 then e<'0'
    elsif cnt1_110*3 then cnt1_10current_send if
    when s2>cnt1_1cnt1_1+1
    if cnt1_1<1*3 then e<'1'rs<'0'rw<'0'
    elsif cnt1_1<2*3 then lcd_dataelsif cnt1_1<10*3 then e<'0'
    elsif cnt1_110*3 then cnt1_10current_send if
    when s3>
    if cnt1_1<1*3 then e<'1'rs<'1'rw<'0'
    elsif cnt1_1<2*3 then lcd_dataelsif cnt1_12*3 then data_cnt data_cnt+1
    elsif cnt1_1<100 then e<'0'
    end if
    cnt1_1cnt1+1
    if cnt1_1100 then cnt1_10
    if data_cnt16 then current_send if
    end if
    when others>current_send case
    end if
    end if
    end process
    end Behavioral


    图10 显示文字模块






    图11 系统仿真图形

    44 图形数模块
    LIBRARY IEEE
    USE IEEESTD_LOGIC_1164ALL
    USE IEEESTD_LOGIC_UNSIGNEDALL
    ENTITY lcdlinehozi IS
    PORT( CLKkey3 IN STD_LOGIC
    CK0DISPHsyncVsyncOUT STD_LOGIC
    rgbOUT STD_LOGIC_VECTOR(0 TO 23)
    )
    END
    ARCHITECTURE WX OF lcdlinehozi IS
    SIGNAL CLK_TEMP1CLK_TEMP2CK STD_LOGIC
    SIGNAL CNT1CNT2STD_LOGIC_VECTOR(2 DOWNTO 0)
    signal cntstd_logic_vector(1 downto 0)
    SIGNAL HS_CNTVS_CNTINTEGER RANGE 0 TO 525
    signal rgbxrgby STD_LOGIC_VECTOR(0 TO 23)
    CONSTANT THpINTEGER 41
    CONSTANT THbINTEGER 2
    CONSTANT THfINTEGER 2
    CONSTANT TVpINTEGER 10
    CONSTANT TVbINTEGER 2
    CONSTANT TVFINTEGER 2
    CONSTANT THdINTEGER 480
    CONSTANT TVdINTEGER 272
    BEGIN
    PROCESS(key3)
    BEGIN
    IF key3'event and key3'1' THEN
    IF cnt10 THEN cnt<00
    else cnt end if
    end process
    process(cnt)
    begin
    if cnt00 then rgb<111111111111111111111111
    elsif cnt01then rgb elsif cnt10then rgb else rgb<000000000000000000000000
    end ifend process
    process(hs_cntvs_cnt)
    begin
    if hs_cnt<102 then rgbx<000000000000000000000000
    elsif hs_cnt<162 then rgbx<000000000000000011111111
    elsif hs_cnt<222 then rgbx<111111110000000000000000
    elsif hs_cnt<282 then rgbx<000000001111111111111111
    elsif hs_cnt<342 then rgbx<000000001111111100000000
    elsif hs_cnt<402 then rgbx<111111110000000011111111
    elsif hs_cnt<462 then rgbx<111111111111111100000000
    elsif hs_cnt<522 then rgbx<111111111111111111111111
    else rgbx<101010101010101010101010
    end if
    if vs_cnt<43 then rgby<000000000000000000000000
    elsif vs_cnt<75 then rgby<000000000000000011111111
    elsif vs_cnt<107 then rgby<111111110000000000000000
    elsif vs_cnt<139 then rgby<000000001111111111111111
    elsif vs_cnt<171 then rgby<000000001111111100000000
    elsif vs_cnt<203 then rgby<111111110000000011111111
    elsif vs_cnt<235 then rgby<111111111111111100000000
    elsif vs_cnt<267 then rgby<111111111111111111111111
    else rgby<101010101010101010101010
    end if
    end process
    CLOCKPROCESS(CLK)
    BEGIN
    IF CLK'EVENT AND CLK'1'THEN
    IF CNT12 THEN CNT1<000
    ELSE CNT1 END IF
    END PROCESS
    PROCESS (CLK)
    BEGIN
    IF CLK'EVENT AND CLK'0' THEN
    IF CNT22 THEN CNT2<000
    ELSE CNT2 END IF
    END PROCESS
    PROCESS(CLK)
    BEGIN
    IF CLK'EVENT AND CLK'1' THEN
    IF CNT10 THEN CLK_TEMP1<'1'
    ELSIF CNT11 THEN CLK_TEMP1<'0'
    END IF
    END IF
    END PROCESS
    PROCESS (CLK)
    BEGIN
    IF CLK'EVENT AND CLK'0'THEN
    IF CNT20 THEN CLK_TEMP2<'1'
    ELSIF CNT21 THEN CLK_TEMP2<'0'
    END IF
    END IF
    END PROCESS
    CK CK0PROCESS(CK)
    BEGIN
    IF CK'EVENT AND CK'1' THEN
    IF HS_CNTTHp1 THEN
    Hsync<'1' HS_CNT ELSIF HS_CNTTHp+THb+THd+THf1 THEN524
    HS_CNT<0Hsync<'0'
    IF VS_CNTTVp+TVb+TVd+TVf1 THEN285 VS
    VS_CNT<0Vsync<'0'DISP<'1'
    ELSIF VS_CNTTVp1 THEN
    Vsync<'1'VS_CNT ELSE VS_CNT END IF
    ELSE HS_CNTEND IFEND IF
    END PROCESS
    END WX

    图12 显示图模块


    图13 系统仿真图形




    第5章 实总结


    次实第次做课程设计说重理知
    识实践充分结合具较强实践动手力实践中发现问题分析问
    题解决问题实际工作定基础 次实中资料搜集
    整理尤关键考察信息提取力提出设计方案做准备
    设计程中电路图起简单编译非常难时
    理知识应次实意识理知识实践力足
    学生活中需更努力读书实践
    三星期说苦甜学东西
    时仅巩固前学知识学书没学知
    识通次课程设计懂理实际相结合重理知识
    远远够学理知识实践相结合起理中出结
    提高实际动手力独立思考力

    参考文献

    [1] 潘松黄继业EDA技术VHDL(第二版)清华学出版社
    [2] 刘韬楼兴华FPGA数字电子系统设计开发实例导航民邮电出版社
    [3] 周立功等编著EDA实验实践北京航空航天学出版社
    [4] 王彦 编基FPGA工程设计应西安电子科技学出版社
    致谢
    次设计中想首先感谢XX老师课程设计没开始前老师
    早做准备熟悉Quartus‖方法设计程解决难题
    课程设计够较成功完成


    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 10 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    PID数字调节器的设计(LCD显示)课程设计

    智能仪表综合训练设计说明书题 目:PID数字调节器的设计(LCD显示)学生姓名:学 号:专 业:测控技术与仪器班 级:2009指导教师:(教授)摘 要 目前,在过...

    2年前   
    328    0

    LED显示屏设计方案

    LED显示屏设计方案1、施工方案概述1.1工程概况LED多媒体信息屏系统是一个集计算机网络技术、多媒体视频控制技术和超大规模集成电路综合应用技术于一体的大型的电子信息显示系统,具有多媒体、多途...

    3年前   
    730    0

    传送带产品计数器的设计(LCD显示)

    传送带产品计数器的设计(LCD显示)目录摘要 21 题目 32 电路原理图的设计 32.1 传送带产品计数器(LED显示)电路原理图 32.2 LED显示模块 32.3 置数模块 43 ...

    3年前   
    824    0

    LED点阵显示屏设计报告

    XX大学开发性实验结题报告学 院: 电子工程学院 班 级:光信 姓 名: 学 号: 班 级:光信 姓 名: 学 号: 班 ...

    3年前   
    642    0

    LED显示屏广告合同

    LED显示屏广告合同甲方(LED屏拥有方): (以下简称甲方)乙方(广告方): (以下简称乙方)根据《中华人民共和国合同法》、...

    11年前   
    892    0

    显示屏文员实习报告

     显示屏文员实习报告 在学习的这几天来,分别在各个车间实习实干,我对公司封装一部的情况有了一定的了解,对产品也有一定的了解。看到公司生产井然有序,严格的管理制度,对自己未来的工作路程充满信心...

    12年前   
    12232    0

    LED显示屏广告合同

    LED显示屏广告合同甲方(LED屏拥有方): (以下简称甲方)乙方(广告方): (以下简称乙方)根据《中华人民共和国合同法》、...

    3年前   
    817    0

    显示屏合同(范本)

    购 销 合 同 合同号: 签约时间: 签约地点: 供方:                                             需方: 地址:          ...

    5年前   
    871    0

    LED显示屏广告投放合同

    广告发布合同甲方: 乙方: 甲乙双方依据《合同法》、《广告法》等有关法规,经协商订立本协议,并签订如下条款:一、 广告牌位置:本合同中所指的广告牌位于二、 广告牌形式:本合同所指的广告牌为LE...

    11年前   
    768    0

    LED显示屏广告投放合同

    广告发布合同甲方: 乙方: 甲乙双方依据《合同法》、《广告法》等有关法规,经协商订立本协议,并签订如下条款:一、 广告牌位置:本合同中所指的广告牌位于二、 广告牌形式:本合同所指的广告牌为LE...

    3年前   
    827    0

    LED显示屏管理办法

                        LED显示屏管理办法       拟稿:          审核:          审批:          ...

    5年前   
    2715    0

    基于51单片机的LED点阵显示屏设计

    目录摘要 IIAbstract III第一章 绪论 11.1 课题背景 11.2 选题意义 11.3 论文主要内容 1第二章 方法论证对比 32.1 单片机编程语言 32.2 控制系统设计 3...

    3年前   
    614    0

    户外LED显示屏投标书

    XX国际销展中心室外全彩屏系统采购及安装项目 投标文件投 标 人: XX科技有限公司 编 制 日 期 : 2014年 X月X日 ...

    1年前   
    286    0

    关于LED显示屏的维修报告

    关于LED显示屏的维修报告 项目名称 交通银行LED显示屏的维护与维修 项目性质 维护、维修 施工单位 **科锐光电科技有限公司 项目地点 交通银行**市各支行网点室内外显示屏...

    11年前   
    15492    0

    LED电子显示屏系统合同书

    LED电子显示屏系统合同书甲方: 乙方: 根据中华人民共和国经济合同法及有关规定,就甲方委托乙方承接室内LED显示屏项目(以下简称项目)事宜,...

    4年前   
    1105    0

    LED显示屏售后服务方案

    技术服务与培训及售后服务、质量保证承诺技术服务与培训计划:一、技术培训为了使业主能对整个弱电系统能有全面的了解,给使用者提供技术指导,使得业主有能力处理常见故障,并消除各个弱电系统因使用或操作...

    1年前   
    469    0

    LED显示屏维护手册

    LED显示屏维护说明一、 LED显示屏使用注意事项及日常维护1. 开关显示屏注意事项: A. 开关顺序:开屏时:先开机,后开屏。 关屏时:先关屏,后关机。 (先关计算机不关显示屏,会造成屏体出...

    3年前   
    656    0

    电子显示屏销售合同

    (WORD表格,打开后格式正常,转载请注明来自:http://www.xiangdang.net/) 甲方: 乙方:     经甲、乙双方友好协商,就甲方委托乙方制作电子屏项目事宜,依...

    14年前   
    15793    0

    Led显示屏维修合同

    Led显示屏维修、代维护合同   甲方:                                           (简称甲方) 乙 :                    ...

    9年前   
    9911    0

    LED显示屏屏订购与安装合同

      甲方:**恒顺房地产开发有限公司 乙方: **盛世东方光电科技有限公司 二 0 一四年九月十八日                                        ...

    10年前   
    7909    0

    文档贡献者

    文***享

    贡献于2019-06-08

    下载需要 10 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档